NewFtq.scala 55.6 KB
Newer Older
L
Lingrui98 已提交
1 2
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
L
Lingrui98 已提交
3
* Copyright (c) 2020-2021 Peng Cheng Laboratory
L
Lingrui98 已提交
4 5 6 7 8 9 10 11 12 13 14 15 16
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

17
package xiangshan.frontend
L
Lingrui98 已提交
18 19 20 21

import chipsalliance.rocketchip.config.Parameters
import chisel3._
import chisel3.util._
22
import utils._
L
Lingrui98 已提交
23
import xiangshan._
24
import xiangshan.frontend.icache._
25
import xiangshan.backend.CtrlToFtqIO
26
import xiangshan.backend.decode.ImmUnion
L
Lingrui98 已提交
27 28 29 30 31 32

class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
  p => p(XSCoreParamsKey).FtqSize
){
}

33 34 35 36 37 38
object FtqPtr {
  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
    val ptr = Wire(new FtqPtr)
    ptr.flag := f
    ptr.value := v
    ptr
L
Lingrui98 已提交
39
  }
40 41 42
  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
    apply(!ptr.flag, ptr.value)
  }
L
Lingrui98 已提交
43 44
}

45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67
class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {

  val io = IO(new Bundle() {
    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
    val ren = Input(Vec(numRead, Bool()))
    val rdata = Output(Vec(numRead, gen))
    val waddr = Input(UInt(log2Up(FtqSize).W))
    val wen = Input(Bool())
    val wdata = Input(gen)
  })

  for(i <- 0 until numRead){
    val sram = Module(new SRAMTemplate(gen, FtqSize))
    sram.io.r.req.valid := io.ren(i)
    sram.io.r.req.bits.setIdx := io.raddr(i)
    io.rdata(i) := sram.io.r.resp.data(0)
    sram.io.w.req.valid := io.wen
    sram.io.w.req.bits.setIdx := io.waddr
    sram.io.w.req.bits.data := VecInit(io.wdata)
  }

}

68
class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
L
Lingrui98 已提交
69
  val startAddr = UInt(VAddrBits.W)
70
  val nextLineAddr = UInt(VAddrBits.W)
71
  val isNextMask = Vec(PredictWidth, Bool())
72 73
  val fallThruError = Bool()
  // val carry = Bool()
74
  def getPc(offset: UInt) = {
75 76
    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
77
    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextLineAddr, startAddr)),
L
Lingrui98 已提交
78
        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
79
  }
80
  def fromBranchPrediction(resp: BranchPredictionBundle) = {
L
Lingrui98 已提交
81
    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
82
    this.startAddr := resp.pc
L
Lingrui98 已提交
83
    this.nextLineAddr := resp.pc + (FetchWidth * 4 * 2).U // may be broken on other configs
84 85 86
    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
    ))
87
    this.fallThruError := resp.fallThruError
88 89
    this
  }
L
Lingrui98 已提交
90
  override def toPrintable: Printable = {
91
    p"startAddr:${Hexadecimal(startAddr)}"
L
Lingrui98 已提交
92
  }
93
}
L
Lingrui98 已提交
94

95
class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
96
  val brMask = Vec(PredictWidth, Bool())
97
  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
98
  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
L
Lingrui98 已提交
99
  val jalTarget = UInt(VAddrBits.W)
100
  val rvcMask = Vec(PredictWidth, Bool())
L
Lingrui98 已提交
101 102 103 104
  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128

  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
    val pds = pdWb.pd
    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
    this.jalTarget := pdWb.jalTarget
  }

  def toPd(offset: UInt) = {
    require(offset.getWidth == log2Ceil(PredictWidth))
    val pd = Wire(new PreDecodeInfo)
    pd.valid := true.B
    pd.isRVC := rvcMask(offset)
    val isBr = brMask(offset)
    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
    pd
  }
129 130
}

L
Lingrui98 已提交
131 132


133
class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
134 135
  val rasSp = UInt(log2Ceil(RasSize).W)
  val rasEntry = new RASEntry
136
  // val specCnt = Vec(numBr, UInt(10.W))
137
  // val ghist = new ShiftingGlobalHistory
138
  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
139 140 141
  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
  val lastBrNumOH = UInt((numBr+1).W)

142
  val histPtr = new CGHPtr
143 144

  def fromBranchPrediction(resp: BranchPredictionBundle) = {
145
    assert(!resp.is_minimal)
146 147
    this.rasSp := resp.rasSp
    this.rasEntry := resp.rasTop
148
    this.folded_hist := resp.folded_hist
149 150
    this.afhob := resp.afhob
    this.lastBrNumOH := resp.lastBrNumOH
151
    this.histPtr := resp.histPtr
152 153
    this
  }
154 155
}

156 157
class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
  val meta = UInt(MaxMetaLength.W)
158 159
}

160 161 162 163 164
class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
  val target = UInt(VAddrBits.W)
  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
}

L
Lingrui98 已提交
165

166
class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
167
  val ptr = Output(new FtqPtr)
168
  val offset = Output(UInt(log2Ceil(PredictWidth).W))
169 170
  val data = Input(gen)
  def apply(ptr: FtqPtr, offset: UInt) = {
L
Lingrui98 已提交
171 172 173
    this.ptr := ptr
    this.offset := offset
    this.data
174 175 176 177
  }
}


178
class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
179 180
  val redirect = Valid(new BranchPredictionRedirect)
  val update = Valid(new BranchPredictionUpdate)
181
  val enq_ptr = Output(new FtqPtr)
182 183
}

184
class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
185
  val req = Decoupled(new FetchRequestBundle)
186
  val redirect = Valid(new Redirect)
187 188 189 190
  val flushFromBpu = new Bundle {
    // when ifu pipeline is not stalled,
    // a packet from bpu s3 can reach f1 at most
    val s2 = Valid(new FtqPtr)
L
Lingrui98 已提交
191
    val s3 = Valid(new FtqPtr)
192 193 194 195
    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
      src.valid && !isAfter(src.bits, idx_to_flush)
    }
    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
L
Lingrui98 已提交
196
    def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
197
  }
198 199
}

200
trait HasBackendRedirectInfo extends HasXSParameter {
201
  def numRedirectPcRead = exuParameters.JmpCnt + exuParameters.AluCnt + 1
L
Lingrui98 已提交
202
  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
203 204 205
}

class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
206 207 208 209 210 211 212 213
  // write to backend pc mem
  val pc_mem_wen = Output(Bool())
  val pc_mem_waddr = Output(UInt(log2Ceil(FtqSize).W))
  val pc_mem_wdata = Output(new Ftq_RF_Components)
  val target = Output(UInt(VAddrBits.W))
  // predecode correct target
  val pd_redirect_waddr = Valid(UInt(log2Ceil(FtqSize).W))
  val pd_redirect_target = Output(UInt(VAddrBits.W))
214 215
}

L
Lingrui98 已提交
216 217 218 219 220 221 222 223 224 225 226 227 228

class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
  val io = IO(new Bundle {
    val start_addr = Input(UInt(VAddrBits.W))
    val old_entry = Input(new FTBEntry)
    val pd = Input(new Ftq_pd_Entry)
    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
    val target = Input(UInt(VAddrBits.W))
    val hit = Input(Bool())
    val mispredict_vec = Input(Vec(PredictWidth, Bool()))

    val new_entry = Output(new FTBEntry)
    val new_br_insert_pos = Output(Vec(numBr, Bool()))
229
    val taken_mask = Output(Vec(numBr, Bool()))
L
Lingrui98 已提交
230
    val mispred_mask = Output(Vec(numBr+1, Bool()))
231 232 233 234

    // for perf counters
    val is_init_entry = Output(Bool())
    val is_old_entry = Output(Bool())
235 236
    val is_new_br = Output(Bool())
    val is_jalr_target_modified = Output(Bool())
237
    val is_always_taken_modified = Output(Bool())
238
    val is_br_full = Output(Bool())
L
Lingrui98 已提交
239 240 241 242 243 244 245 246 247 248 249
  })

  // no mispredictions detected at predecode
  val hit = io.hit
  val pd = io.pd

  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))


  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
  val entry_has_jmp = pd.jmpInfo.valid
L
Lingrui98 已提交
250 251 252 253
  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
254
  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
L
Lingrui98 已提交
255
  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
Y
Yinan Xu 已提交
256

L
Lingrui98 已提交
257
  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
258
  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
L
Lingrui98 已提交
259

L
Lingrui98 已提交
260
  def carryPos = log2Ceil(PredictWidth)+instOffsetBits
261
  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
L
Lingrui98 已提交
262 263 264
  // if not hit, establish a new entry
  init_entry.valid := true.B
  // tag is left for ftb to assign
265 266 267 268 269 270
  
  // case br
  val init_br_slot = init_entry.getSlotForBr(0)
  when (cfi_is_br) {
    init_br_slot.valid := true.B
    init_br_slot.offset := io.cfiIndex.bits
271
    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
272 273 274 275 276 277 278 279 280 281
    init_entry.always_taken(0) := true.B // set to always taken on init
  }

  // case jmp
  when (entry_has_jmp) {
    init_entry.tailSlot.offset := pd.jmpOffset
    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
  }

282
  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
L
Lingrui98 已提交
283 284
  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos-instOffsetBits), true.B)
L
Lingrui98 已提交
285 286 287
  init_entry.isJalr := new_jmp_is_jalr
  init_entry.isCall := new_jmp_is_call
  init_entry.isRet  := new_jmp_is_ret
288
  // that means fall thru points to the middle of an inst
289
  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask(pd.jmpOffset)
L
Lingrui98 已提交
290 291 292

  // if hit, check whether a new cfi(only br is possible) is detected
  val oe = io.old_entry
293
  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
L
Lingrui98 已提交
294 295 296 297
  val br_recorded = br_recorded_vec.asUInt.orR
  val is_new_br = cfi_is_br && !br_recorded
  val new_br_offset = io.cfiIndex.bits
  // vec(i) means new br will be inserted BEFORE old br(i)
298
  val allBrSlotsVec = oe.allSlotsForBr
L
Lingrui98 已提交
299 300
  val new_br_insert_onehot = VecInit((0 until numBr).map{
    i => i match {
301 302 303 304 305
      case 0 =>
        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
      case idx =>
        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
L
Lingrui98 已提交
306 307 308 309 310
    }
  })

  val old_entry_modified = WireInit(io.old_entry)
  for (i <- 0 until numBr) {
311 312 313 314
    val slot = old_entry_modified.allSlotsForBr(i)
    when (new_br_insert_onehot(i)) {
      slot.valid := true.B
      slot.offset := new_br_offset
315
      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr-1)
316 317 318 319 320 321 322
      old_entry_modified.always_taken(i) := true.B
    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
      old_entry_modified.always_taken(i) := false.B
      // all other fields remain unchanged
    }.otherwise {
      // case i == 0, remain unchanged
      if (i != 0) {
323
        val noNeedToMoveFromFormerSlot = (i == numBr-1).B && !oe.brSlots.last.valid
324 325 326 327 328 329 330 331 332 333 334 335 336 337
        when (!noNeedToMoveFromFormerSlot) {
          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
          old_entry_modified.always_taken(i) := oe.always_taken(i)
        }
      }
    }
  }

  // two circumstances:
  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either 
  //        the previous last br or the new br
  val may_have_to_replace = oe.noEmptySlotForNewBr
  val pft_need_to_change = is_new_br && may_have_to_replace
L
Lingrui98 已提交
338 339
  // it should either be the given last br or the new br
  when (pft_need_to_change) {
340
    val new_pft_offset =
341 342 343 344
      Mux(!new_br_insert_onehot.asUInt.orR,
        new_br_offset, oe.allSlotsForBr.last.offset)

    // set jmp to invalid
345 346
    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
347
    old_entry_modified.last_may_be_rvi_call := false.B
L
Lingrui98 已提交
348 349
    old_entry_modified.isCall := false.B
    old_entry_modified.isRet := false.B
350
    old_entry_modified.isJalr := false.B
L
Lingrui98 已提交
351 352
  }

353
  val old_entry_jmp_target_modified = WireInit(oe)
354
  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
355
  val old_tail_is_jmp = !oe.tailSlot.sharing
356
  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
357
  when (jalr_target_modified) {
358
    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
359 360 361 362 363 364 365 366
    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
  }

  val old_entry_always_taken = WireInit(oe)
  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
  for (i <- 0 until numBr) {
    old_entry_always_taken.always_taken(i) :=
      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
367
    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
368
  }
369 370 371 372 373 374
  val always_taken_modified = always_taken_modified_vec.reduce(_||_)



  val derived_from_old_entry =
    Mux(is_new_br, old_entry_modified,
375
      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
376

Y
Yinan Xu 已提交
377

378
  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
379

L
Lingrui98 已提交
380
  io.new_br_insert_pos := new_br_insert_onehot
381
  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
382 383
    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
  })
L
Lingrui98 已提交
384 385 386 387
  for (i <- 0 until numBr) {
    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
  }
  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
388 389 390

  // for perf counters
  io.is_init_entry := !hit
391
  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
392
  io.is_new_br := hit && is_new_br
393
  io.is_jalr_target_modified := hit && jalr_target_modified
394
  io.is_always_taken_modified := hit && always_taken_modified
395
  io.is_br_full := hit && is_new_br && may_have_to_replace
L
Lingrui98 已提交
396 397
}

L
Lingrui98 已提交
398
class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
399 400
  with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents 
  with HasICacheParameters{
L
Lingrui98 已提交
401 402 403
  val io = IO(new Bundle {
    val fromBpu = Flipped(new BpuToFtqIO)
    val fromIfu = Flipped(new IfuToFtqIO)
404
    val fromBackend = Flipped(new CtrlToFtqIO)
Y
Yinan Xu 已提交
405

L
Lingrui98 已提交
406 407
    val toBpu = new FtqToBpuIO
    val toIfu = new FtqToIfuIO
408
    val toBackend = new FtqToCtrlIO
L
Lingrui98 已提交
409

410 411
    val toPrefetch = new FtqPrefechBundle

412 413 414 415 416 417
    val bpuInfo = new Bundle {
      val bpRight = Output(UInt(XLEN.W))
      val bpWrong = Output(UInt(XLEN.W))
    }
  })
  io.bpuInfo := DontCare
L
Lingrui98 已提交
418

419 420
  val backendRedirect = Wire(Valid(new Redirect))
  val backendRedirectReg = RegNext(backendRedirect)
L
Lingrui98 已提交
421

422
  val stage2Flush = backendRedirect.valid
L
Lingrui98 已提交
423
  val backendFlush = stage2Flush || RegNext(stage2Flush)
424
  val ifuFlush = Wire(Bool())
L
Lingrui98 已提交
425

426
  val flush = stage2Flush || RegNext(stage2Flush)
L
Lingrui98 已提交
427 428 429

  val allowBpuIn, allowToIfu = WireInit(false.B)
  val flushToIfu = !allowToIfu
430 431
  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
Y
Yinan Xu 已提交
432

433
  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
434
  val ifuPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
L
Lingrui98 已提交
435 436
  val validEntries = distanceBetween(bpuPtr, commPtr)

437 438 439
  // **********************************************************************
  // **************************** enq from bpu ****************************
  // **********************************************************************
L
Lingrui98 已提交
440 441 442 443
  val new_entry_ready = validEntries < FtqSize.U
  io.fromBpu.resp.ready := new_entry_ready

  val bpu_s2_resp = io.fromBpu.resp.bits.s2
L
Lingrui98 已提交
444
  val bpu_s3_resp = io.fromBpu.resp.bits.s3
L
Lingrui98 已提交
445
  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
L
Lingrui98 已提交
446
  val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
L
Lingrui98 已提交
447

448
  io.toBpu.enq_ptr := bpuPtr
L
Lingrui98 已提交
449
  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
L
Lingrui98 已提交
450
  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
451

452 453
  val bpu_in_resp = io.fromBpu.resp.bits.selectedResp
  val bpu_in_stage = io.fromBpu.resp.bits.selectedRespIdx
L
Lingrui98 已提交
454 455
  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
  val bpu_in_resp_idx = bpu_in_resp_ptr.value
456

457 458
  // read ports:                                                ifuReq1 + ifuReq2 + commitUpdate
  val ftq_pc_mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, 3, 1))
459
  // resp from uBTB
L
Lingrui98 已提交
460 461 462
  ftq_pc_mem.io.wen(0) := bpu_in_fire
  ftq_pc_mem.io.waddr(0) := bpu_in_resp_idx
  ftq_pc_mem.io.wdata(0).fromBranchPrediction(bpu_in_resp)
463

464 465
  //                                                            ifuRedirect + backendRedirect + commit
  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
466 467 468 469
  // these info is intended to enq at the last stage of bpu
  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
  ftq_redirect_sram.io.wdata.fromBranchPrediction(io.fromBpu.resp.bits.lastStage)
L
Lingrui98 已提交
470 471
  println(f"ftq redirect SRAM: entry ${ftq_redirect_sram.io.wdata.getWidth} * ${FtqSize} * 3")
  println(f"ftq redirect SRAM: ahead fh ${ftq_redirect_sram.io.wdata.afhob.getWidth} * ${FtqSize} * 3")
472 473

  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
474 475 476
  // these info is intended to enq at the last stage of bpu
  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
477
  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.meta
478 479
  //                                                            ifuRedirect + backendRedirect + commit
  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
480 481 482
  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.lastStage.ftb_entry
L
Lingrui98 已提交
483

Y
Yinan Xu 已提交
484

485
  // multi-write
486
  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough
487
  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
488
  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
489
  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
Y
Yinan Xu 已提交
490

L
Lingrui98 已提交
491
  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
492
  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
L
Lingrui98 已提交
493
    VecInit(Seq.fill(PredictWidth)(c_invalid))
494
  }))
Y
Yinan Xu 已提交
495

L
Lingrui98 已提交
496
  val f_to_send :: f_sent :: Nil = Enum(2)
497
  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
L
Lingrui98 已提交
498 499 500 501

  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))

502 503 504 505 506 507 508 509 510 511 512 513 514
  // modify registers one cycle later to cut critical path
  val last_cycle_bpu_in = RegNext(bpu_in_fire)
  val last_cycle_bpu_in_idx = RegNext(bpu_in_resp_idx)
  val last_cycle_update_target = RegNext(bpu_in_resp.getTarget)
  val last_cycle_cfiIndex = RegNext(bpu_in_resp.cfiIndex)
  val last_cycle_bpu_in_stage = RegNext(bpu_in_stage)
  when (last_cycle_bpu_in) {
    entry_fetch_status(last_cycle_bpu_in_idx) := f_to_send
    commitStateQueue(last_cycle_bpu_in_idx) := VecInit(Seq.fill(PredictWidth)(c_invalid))
    cfiIndex_vec(last_cycle_bpu_in_idx) := last_cycle_cfiIndex
    mispredict_vec(last_cycle_bpu_in_idx) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
    update_target(last_cycle_bpu_in_idx) := last_cycle_update_target
    pred_stage(last_cycle_bpu_in_idx) := last_cycle_bpu_in_stage
515
  }
Y
Yinan Xu 已提交
516

517

518
  bpuPtr := bpuPtr + enq_fire
519 520 521 522
  when (io.toIfu.req.fire && allowToIfu) {
    ifuPtr := ifuPtrPlus1
    ifuPtrPlus1 := ifuPtrPlus1 + 1.U
  }
L
Lingrui98 已提交
523 524 525

  // only use ftb result to assign hit status
  when (bpu_s2_resp.valid) {
526
    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred.hit, h_hit, h_not_hit)
L
Lingrui98 已提交
527
  }
Y
Yinan Xu 已提交
528 529


L
Lingrui98 已提交
530
  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
531
  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
532 533
  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
L
Lingrui98 已提交
534 535 536
    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
      ifuPtr := bpu_s2_resp.ftq_idx
537
      ifuPtrPlus1 := bpu_s2_resp.ftq_idx + 1.U
L
Lingrui98 已提交
538
    }
539
  }
Y
Yinan Xu 已提交
540

L
Lingrui98 已提交
541 542 543 544 545 546 547
  io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
  when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
      ifuPtr := bpu_s3_resp.ftq_idx
548
      ifuPtrPlus1 := bpu_s3_resp.ftq_idx + 1.U
L
Lingrui98 已提交
549 550 551
    }
  }

552
  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
L
Lingrui98 已提交
553 554 555 556

  // ****************************************************************
  // **************************** to ifu ****************************
  // ****************************************************************
557
  val bpu_in_bypass_buf = RegEnable(ftq_pc_mem.io.wdata(0), bpu_in_fire)
L
Lingrui98 已提交
558
  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
L
Lingrui98 已提交
559 560 561 562
  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)

  // read pc and target
  ftq_pc_mem.io.raddr.init.init.last := ifuPtr.value
563
  ftq_pc_mem.io.raddr.init.last := ifuPtrPlus1.value
Y
Yinan Xu 已提交
564

L
Lingrui98 已提交
565
  io.toIfu.req.bits.ftqIdx := ifuPtr
566

567
  
568
  val toIfuPcBundle = Wire(new Ftq_RF_Components)
569 570 571 572
  val entry_is_to_send = WireInit(entry_fetch_status(ifuPtr.value) === f_to_send)
  val entry_next_addr = WireInit(update_target(ifuPtr.value))
  val entry_ftq_offset = WireInit(cfiIndex_vec(ifuPtr.value))

573
  
L
Lingrui98 已提交
574
  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
575
    toIfuPcBundle := bpu_in_bypass_buf
576
    entry_is_to_send := true.B
577 578
    entry_next_addr := last_cycle_update_target
    entry_ftq_offset := last_cycle_cfiIndex
L
Lingrui98 已提交
579
  }.elsewhen (last_cycle_to_ifu_fire) {
580
    toIfuPcBundle := ftq_pc_mem.io.rdata.init.last
581 582
    entry_is_to_send := RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) ||
                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1)) // reduce potential bubbles
L
Lingrui98 已提交
583
  }.otherwise {
584
    toIfuPcBundle := ftq_pc_mem.io.rdata.init.init.last
585
    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send)
L
Lingrui98 已提交
586
  }
587
  
588
  io.toIfu.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
589 590
  io.toIfu.req.bits.nextStartAddr := entry_next_addr
  io.toIfu.req.bits.ftqOffset := entry_ftq_offset
591
  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
592
  
L
Lingrui98 已提交
593
  // when fall through is smaller in value than start address, there must be a false hit
594
  when (toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
595
    when (io.toIfu.req.fire &&
L
Lingrui98 已提交
596 597
      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
598 599
    ) {
      entry_hit_status(ifuPtr.value) := h_false_hit
L
Lingrui98 已提交
600
      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
601
    }
602
    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
L
Lingrui98 已提交
603
  }
604
  
L
Lingrui98 已提交
605 606 607
  XSPerfAccumulate(f"fall_through_error_to_ifu", toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
    io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr))
  
L
Lingrui98 已提交
608
  val ifu_req_should_be_flushed =
L
Lingrui98 已提交
609 610
    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
611 612 613 614 615
    
    when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
      entry_fetch_status(ifuPtr.value) := f_sent
    }
    
616 617 618 619
  // *********************************************************************
  // **************************** wb from ifu ****************************
  // *********************************************************************
  val pdWb = io.fromIfu.pdWb
620
  val pds = pdWb.bits.pd
621
  val ifu_wb_valid = pdWb.valid
L
Lingrui98 已提交
622
  val ifu_wb_idx = pdWb.bits.ftqIdx.value
623 624
  // read ports:                                                         commit update
  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
625
  ftq_pd_mem.io.wen(0) := ifu_wb_valid
626
  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
627
  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
L
Lingrui98 已提交
628

629
  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
L
Lingrui98 已提交
630 631
  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
632 633 634
  val pd_reg       = RegEnable(pds,             pdWb.valid)
  val start_pc_reg = RegEnable(pdWb.bits.pc(0), pdWb.valid)
  val wb_idx_reg   = RegEnable(ifu_wb_idx,      pdWb.valid)
L
Lingrui98 已提交
635

636
  when (ifu_wb_valid) {
637 638 639
    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
      case (v, inRange) => v && inRange
    })
L
Lingrui98 已提交
640 641 642
    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
      case (qe, v) => when (v) { qe := c_valid }
    }
643 644
  }

L
Lingrui98 已提交
645 646
  ifuWbPtr := ifuWbPtr + ifu_wb_valid

647 648
  ftb_entry_mem.io.raddr.head := ifu_wb_idx
  val has_false_hit = WireInit(false.B)
L
Lingrui98 已提交
649 650
  when (RegNext(hit_pd_valid)) {
    // check for false hit
651
    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
652 653
    val brSlots = pred_ftb_entry.brSlots
    val tailSlot = pred_ftb_entry.tailSlot
L
Lingrui98 已提交
654
    // we check cfis that bpu predicted
655 656 657 658 659 660

    // bpu predicted branches but denied by predecode
    val br_false_hit =
      brSlots.map{
        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
      }.reduce(_||_) ||
661
      (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
662
        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
663

664
    val jmpOffset = tailSlot.offset
L
Lingrui98 已提交
665 666 667 668 669 670 671
    val jmp_pd = pd_reg(jmpOffset)
    val jal_false_hit = pred_ftb_entry.jmpValid &&
      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
      )
672 673

    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
674
    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
Y
Yinan Xu 已提交
675

L
Lingrui98 已提交
676
    // assert(!has_false_hit)
677 678 679 680
  }

  when (has_false_hit) {
    entry_hit_status(wb_idx_reg) := h_false_hit
L
Lingrui98 已提交
681 682 683 684
  }


  // **********************************************************************
685
  // ***************************** to backend *****************************
L
Lingrui98 已提交
686
  // **********************************************************************
687 688 689 690 691
  // to backend pc mem / target
  io.toBackend.pc_mem_wen   := RegNext(last_cycle_bpu_in)
  io.toBackend.pc_mem_waddr := RegNext(last_cycle_bpu_in_idx)
  io.toBackend.pc_mem_wdata := RegNext(bpu_in_bypass_buf)
  io.toBackend.target       := RegNext(last_cycle_update_target)
692 693 694 695

  // *******************************************************************************
  // **************************** redirect from backend ****************************
  // *******************************************************************************
Y
Yinan Xu 已提交
696

697
  // redirect read cfiInfo, couples to redirectGen s2
698 699
  ftq_redirect_sram.io.ren.init.last := backendRedirect.valid
  ftq_redirect_sram.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
700

701
  ftb_entry_mem.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
702 703

  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
704
  val fromBackendRedirect = WireInit(backendRedirectReg)
705
  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
706
  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
707 708 709 710 711 712 713

  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset

  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
714
      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
715 716

    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
717
        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
718
  }.otherwise {
719
    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
720 721
    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
  }
722

723 724 725 726 727

  // ***************************************************************************
  // **************************** redirect from ifu ****************************
  // ***************************************************************************
  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new Redirect)))
728
  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
729 730
  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
L
Lingrui98 已提交
731
  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
732 733 734 735 736

  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
J
JinYue 已提交
737
  ifuRedirectCfiUpdate.target := pdWb.bits.target
738 739 740
  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid

L
Lingrui98 已提交
741 742
  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new Redirect)))
  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
743
  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
744

745 746
  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
Y
Yinan Xu 已提交
747

748
  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
L
Lingrui98 已提交
749 750

  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
751
  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
752 753 754
  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
  }
755

Y
Yinan Xu 已提交
756
  // *********************************************************************
757 758 759
  // **************************** wb from exu ****************************
  // *********************************************************************

760
  backendRedirect := io.fromBackend.redirect
761

L
Lingrui98 已提交
762 763 764 765 766 767
  def extractRedirectInfo(wb: Valid[Redirect]) = {
    val ftqIdx = wb.bits.ftqIdx.value
    val ftqOffset = wb.bits.ftqOffset
    val taken = wb.bits.cfiUpdate.taken
    val mispred = wb.bits.cfiUpdate.isMisPred
    (wb.valid, ftqIdx, ftqOffset, taken, mispred)
768 769
  }

770 771
  // fix mispredict entry
  val lastIsMispredict = RegNext(
772
    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter, init = false.B
773
  )
774

775
  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
L
Lingrui98 已提交
776 777 778
    val (r_valid, r_idx, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
779
    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
L
Lingrui98 已提交
780
      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
781
    }
782
    when (cfiIndex_bits_wen) {
L
Lingrui98 已提交
783
      cfiIndex_vec(r_idx).bits := r_offset
784
    }
L
Lingrui98 已提交
785
    update_target(r_idx) := redirect.bits.cfiUpdate.target
786
    if (isBackend) {
L
Lingrui98 已提交
787
      mispredict_vec(r_idx)(r_offset) := r_mispred
788 789
    }
  }
790 791 792 793 794
  
  // write to backend target vec
  io.toBackend.pd_redirect_waddr.valid := RegNext(fromIfuRedirect.valid)
  io.toBackend.pd_redirect_waddr.bits  := RegNext(fromIfuRedirect.bits.ftqIdx.value)
  io.toBackend.pd_redirect_target      := RegNext(fromIfuRedirect.bits.cfiUpdate.target)
795

796 797
  when(backendRedirectReg.valid && lastIsMispredict) {
    updateCfiInfo(backendRedirectReg)
798 799
  }.elsewhen (ifuRedirectToBpu.valid) {
    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
800 801 802 803 804
  }

  // ***********************************************************************************
  // **************************** flush ptr and state queue ****************************
  // ***********************************************************************************
L
Lingrui98 已提交
805

806
  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
Y
Yinan Xu 已提交
807

L
Lingrui98 已提交
808
  // when redirect, we should reset ptrs and status queues
809
  when(redirectVec.map(r => r.valid).reduce(_||_)){
L
Lingrui98 已提交
810
    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
811
    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
L
Lingrui98 已提交
812
    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
813
    val next = idx + 1.U
814 815 816
    bpuPtr := next
    ifuPtr := next
    ifuWbPtr := next
817
    ifuPtrPlus1 := idx + 2.U
818 819 820 821
    when (notIfu) {
      commitStateQueue(idx.value).zipWithIndex.foreach({ case (s, i) =>
        when(i.U > offset || i.U === offset && flushItSelf){
          s := c_invalid
L
Lingrui98 已提交
822
        }
823
      })
824 825 826
    }
  }

L
Lingrui98 已提交
827
  // only the valid bit is actually needed
828
  io.toIfu.redirect.bits    := backendRedirect.bits
829
  io.toIfu.redirect.valid   := stage2Flush
Y
Yinan Xu 已提交
830

831
  // commit
Y
Yinan Xu 已提交
832
  for (c <- io.fromBackend.rob_commits) {
833
    when(c.valid) {
L
Lingrui98 已提交
834
      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
835 836
      // TODO: remove this
      // For instruction fusions, we also update the next instruction
837
      when (c.bits.commitType === 4.U) {
838
        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
839
      }.elsewhen(c.bits.commitType === 5.U) {
840
        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
841
      }.elsewhen(c.bits.commitType === 6.U) {
842 843
        val index = (c.bits.ftqIdx + 1.U).value
        commitStateQueue(index)(0) := c_commited
844
      }.elsewhen(c.bits.commitType === 7.U) {
845 846 847
        val index = (c.bits.ftqIdx + 1.U).value
        commitStateQueue(index)(1) := c_commited
      }
848 849
    }
  }
Y
Yinan Xu 已提交
850

851 852 853
  // ****************************************************************
  // **************************** to bpu ****************************
  // ****************************************************************
Y
Yinan Xu 已提交
854

855
  io.toBpu.redirect <> Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
Y
Yinan Xu 已提交
856

L
Lingrui98 已提交
857 858 859
  val may_have_stall_from_bpu = Wire(Bool())
  val bpu_ftb_update_stall = RegInit(0.U(2.W)) // 2-cycle stall, so we need 3 states
  may_have_stall_from_bpu := bpu_ftb_update_stall =/= 0.U
Z
zoujr 已提交
860
  val canCommit = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
L
Lingrui98 已提交
861 862 863 864
    Cat(commitStateQueue(commPtr.value).map(s => {
      s === c_invalid || s === c_commited
    })).andR()

865
  // commit reads
L
Lingrui98 已提交
866 867 868 869
  ftq_pc_mem.io.raddr.last := commPtr.value
  val commit_pc_bundle = ftq_pc_mem.io.rdata.last
  ftq_pd_mem.io.raddr.last := commPtr.value
  val commit_pd = ftq_pd_mem.io.rdata.last
870 871 872
  ftq_redirect_sram.io.ren.last := canCommit
  ftq_redirect_sram.io.raddr.last := commPtr.value
  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
L
Lingrui98 已提交
873 874 875
  ftq_meta_1r_sram.io.ren(0) := canCommit
  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
876 877
  ftb_entry_mem.io.raddr.last := commPtr.value
  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
L
Lingrui98 已提交
878

Y
Yinan Xu 已提交
879
  // need one cycle to read mem and srams
880
  val do_commit_ptr = RegNext(commPtr)
Z
zoujr 已提交
881
  val do_commit = RegNext(canCommit, init=false.B)
882 883
  when (canCommit) { commPtr := commPtr + 1.U }
  val commit_state = RegNext(commitStateQueue(commPtr.value))
Z
zoujr 已提交
884 885 886
  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
  when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
    can_commit_cfi.valid := false.B
887
  }
Z
zoujr 已提交
888
  val commit_cfi = RegNext(can_commit_cfi)
889 890 891 892

  val commit_mispredict = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
    case (mis, state) => mis && state === c_commited
  })
Z
zoujr 已提交
893 894
  val can_commit_hit = entry_hit_status(commPtr.value)
  val commit_hit = RegNext(can_commit_hit)
895
  val commit_target = RegNext(update_target(commPtr.value))
896
  val commit_stage = RegNext(pred_stage(commPtr.value))
897
  val commit_valid = commit_hit === h_hit || commit_cfi.valid // hit or taken
Y
Yinan Xu 已提交
898

Z
zoujr 已提交
899
  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
L
Lingrui98 已提交
900 901 902 903 904 905 906 907 908 909 910 911 912 913 914 915
  switch (bpu_ftb_update_stall) {
    is (0.U) {
      when (can_commit_cfi.valid && !to_bpu_hit && canCommit) {
        bpu_ftb_update_stall := 2.U // 2-cycle stall
      }
    }
    is (2.U) {
      bpu_ftb_update_stall := 1.U
    }
    is (1.U) {
      bpu_ftb_update_stall := 0.U
    }
    is (3.U) {
      XSError(true.B, "bpu_ftb_update_stall should be 0, 1 or 2")
    }
  }
916

917
  io.toBpu.update := DontCare
L
Lingrui98 已提交
918
  io.toBpu.update.valid := commit_valid && do_commit
L
Lingrui98 已提交
919
  val update = io.toBpu.update.bits
920 921 922 923
  update.false_hit   := commit_hit === h_false_hit
  update.pc          := commit_pc_bundle.startAddr
  update.meta        := commit_meta.meta
  update.full_target := commit_target
924
  update.from_stage  := commit_stage
L
Lingrui98 已提交
925
  update.fromFtqRedirectSram(commit_spec_meta)
926

L
Lingrui98 已提交
927 928
  val commit_real_hit = commit_hit === h_hit
  val update_ftb_entry = update.ftb_entry
Y
Yinan Xu 已提交
929

L
Lingrui98 已提交
930
  val ftbEntryGen = Module(new FTBEntryGen).io
L
Lingrui98 已提交
931 932 933 934 935 936
  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
  ftbEntryGen.old_entry      := commit_ftb_entry
  ftbEntryGen.pd             := commit_pd
  ftbEntryGen.cfiIndex       := commit_cfi
  ftbEntryGen.target         := commit_target
  ftbEntryGen.hit            := commit_real_hit
937
  ftbEntryGen.mispredict_vec := commit_mispredict
Y
Yinan Xu 已提交
938

L
Lingrui98 已提交
939
  update_ftb_entry         := ftbEntryGen.new_entry
L
Lingrui98 已提交
940
  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
L
Lingrui98 已提交
941 942
  update.mispred_mask      := ftbEntryGen.mispred_mask
  update.old_entry         := ftbEntryGen.is_old_entry
943
  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
944 945 946 947 948 949 950 951 952

  update.is_minimal := false.B
  update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
  update.full_pred.br_taken_mask  := ftbEntryGen.taken_mask
  update.full_pred.jalr_target := commit_target
  update.full_pred.hit := true.B
  when (update.full_pred.is_jalr) {
    update.full_pred.targets.last := commit_target
  }
L
Lingrui98 已提交
953

954 955
  // ****************************************************************
  // *********************** to prefetch ****************************
956 957 958 959 960 961 962 963 964 965
  // ****************************************************************

  if(cacheParams.hasPrefetch){
    val prefetchPtr = RegInit(FtqPtr(false.B, 0.U))
    prefetchPtr := prefetchPtr + io.toPrefetch.req.fire()

    when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s2_resp.ftq_idx)) {
      prefetchPtr := bpu_s2_resp.ftq_idx
    }

L
Lingrui98 已提交
966 967 968 969
    when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s3_resp.ftq_idx)) {
      prefetchPtr := bpu_s3_resp.ftq_idx
      // XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
    }
970

971 972 973 974 975 976 977 978 979 980

    val prefetch_is_to_send = WireInit(entry_fetch_status(prefetchPtr.value) === f_to_send)
    val prefetch_addr = WireInit(update_target(prefetchPtr.value))
    
    when (last_cycle_bpu_in && bpu_in_bypass_ptr === prefetchPtr) {
      prefetch_is_to_send := true.B
      prefetch_addr := last_cycle_update_target
    }
    io.toPrefetch.req.valid := prefetchPtr =/= bpuPtr && prefetch_is_to_send
    io.toPrefetch.req.bits.target := prefetch_addr
981 982 983 984 985 986 987 988

    when(redirectVec.map(r => r.valid).reduce(_||_)){
      val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
      val next = r.ftqIdx + 1.U
      prefetchPtr := next
    }

    XSError(isBefore(bpuPtr, prefetchPtr) && !isFull(bpuPtr, prefetchPtr), "\nprefetchPtr is before bpuPtr!\n")
989
    XSError(isBefore(prefetchPtr, ifuPtr) && !isFull(ifuPtr, prefetchPtr), "\nifuPtr is before prefetchPtr!\n")
990 991 992 993 994
  }
  else {
    io.toPrefetch.req <> DontCare
  }

L
Lingrui98 已提交
995 996 997
  // ******************************************************************************
  // **************************** commit perf counters ****************************
  // ******************************************************************************
L
Lingrui98 已提交
998 999 1000 1001 1002 1003 1004 1005 1006 1007 1008 1009 1010 1011 1012 1013 1014

  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
  val commit_mispred_mask = commit_mispredict.asUInt
  val commit_not_mispred_mask = ~commit_mispred_mask

  val commit_br_mask = commit_pd.brMask.asUInt
  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)

  val mbpInstrs = commit_inst_mask & commit_cfi_mask

  val mbpRights = mbpInstrs & commit_not_mispred_mask
  val mbpWrongs = mbpInstrs & commit_mispred_mask

  io.bpuInfo.bpRight := PopCount(mbpRights)
  io.bpuInfo.bpWrong := PopCount(mbpWrongs)

L
Lingrui98 已提交
1015 1016 1017 1018 1019 1020 1021 1022 1023
  // Cfi Info
  for (i <- 0 until PredictWidth) {
    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
    val v = commit_state(i) === c_commited
    val isBr = commit_pd.brMask(i)
    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
    val isCfi = isBr || isJmp
    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
    val misPred = commit_mispredict(i)
1024 1025
    // val ghist = commit_spec_meta.ghist.predHist
    val histPtr = commit_spec_meta.histPtr
L
Lingrui98 已提交
1026 1027
    val predCycle = commit_meta.meta(63, 0)
    val target = commit_target
1028
    
L
Lingrui98 已提交
1029 1030
    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
1031
    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid)) 
L
Lingrui98 已提交
1032
    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1033
    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
L
Lingrui98 已提交
1034 1035 1036
    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
  }
1037

L
Lingrui98 已提交
1038
  val enq = io.fromBpu.resp
1039
  val perf_redirect = backendRedirect
L
Lingrui98 已提交
1040

L
Lingrui98 已提交
1041 1042 1043 1044 1045
  XSPerfAccumulate("entry", validEntries)
  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
Y
Yinan Xu 已提交
1046

L
Lingrui98 已提交
1047
  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
1048

L
Lingrui98 已提交
1049 1050
  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
1051
  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
L
Lingrui98 已提交
1052

L
Lingrui98 已提交
1053 1054
  val from_bpu = io.fromBpu.resp.bits
  def in_entry_len_map_gen(resp: BranchPredictionBundle)(stage: String) = {
1055
    assert(!resp.is_minimal)
L
Lingrui98 已提交
1056 1057 1058 1059
    val entry_len = (resp.ftb_entry.getFallThrough(resp.pc) - resp.pc) >> instOffsetBits
    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
    val entry_len_map = (1 to PredictWidth+1).map(i =>
      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.valid)
1060
    ).foldLeft(Map[String, UInt]())(_+_)
L
Lingrui98 已提交
1061 1062 1063
    entry_len_map
  }
  val s2_entry_len_map = in_entry_len_map_gen(from_bpu.s2)("s2")
L
Lingrui98 已提交
1064
  val s3_entry_len_map = in_entry_len_map_gen(from_bpu.s3)("s3")
L
Lingrui98 已提交
1065

L
Lingrui98 已提交
1066
  val to_ifu = io.toIfu.req.bits
L
Lingrui98 已提交
1067

L
Lingrui98 已提交
1068

Y
Yinan Xu 已提交
1069

L
Lingrui98 已提交
1070
  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
Y
Yinan Xu 已提交
1071
  val commit_num_inst_map = (1 to PredictWidth).map(i =>
L
Lingrui98 已提交
1072 1073
    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
  ).foldLeft(Map[String, UInt]())(_+_)
1074

L
Lingrui98 已提交
1075

L
Lingrui98 已提交
1076

L
Lingrui98 已提交
1077 1078 1079 1080
  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
Y
Yinan Xu 已提交
1081

1082

L
Lingrui98 已提交
1083 1084 1085 1086 1087 1088 1089 1090 1091 1092 1093 1094
  val mbpBRights = mbpRights & commit_br_mask
  val mbpJRights = mbpRights & commit_jal_mask
  val mbpIRights = mbpRights & commit_jalr_mask
  val mbpCRights = mbpRights & commit_call_mask
  val mbpRRights = mbpRights & commit_ret_mask

  val mbpBWrongs = mbpWrongs & commit_br_mask
  val mbpJWrongs = mbpWrongs & commit_jal_mask
  val mbpIWrongs = mbpWrongs & commit_jalr_mask
  val mbpCWrongs = mbpWrongs & commit_call_mask
  val mbpRWrongs = mbpWrongs & commit_ret_mask

1095 1096 1097 1098 1099 1100 1101 1102 1103 1104 1105 1106 1107 1108 1109
  val commit_pred_stage = RegNext(pred_stage(commPtr.value))

  def pred_stage_map(src: UInt, name: String) = {
    (0 until numBpStages).map(i =>
      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
    ).foldLeft(Map[String, UInt]())(_+_)
  }

  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")

L
Lingrui98 已提交
1110 1111 1112
  val update_valid = io.toBpu.update.valid
  def u(cond: Bool) = update_valid && cond
  val ftb_false_hit = u(update.false_hit)
1113
  // assert(!ftb_false_hit)
L
Lingrui98 已提交
1114 1115 1116
  val ftb_hit = u(commit_hit === h_hit)

  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
1117 1118 1119
  val ftb_new_entry_only_br = ftb_new_entry && !update_ftb_entry.jmpValid
  val ftb_new_entry_only_jmp = ftb_new_entry && !update_ftb_entry.brValids(0)
  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
L
Lingrui98 已提交
1120 1121

  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
Y
Yinan Xu 已提交
1122

L
Lingrui98 已提交
1123 1124 1125 1126 1127 1128 1129 1130 1131 1132 1133 1134 1135 1136
  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified

  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
  ).foldLeft(Map[String, UInt]())(_+_)
  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
  ).foldLeft(Map[String, UInt]())(_+_)
Y
Yinan Xu 已提交
1137

L
Lingrui98 已提交
1138 1139 1140 1141 1142 1143 1144 1145 1146 1147 1148 1149 1150 1151 1152 1153 1154 1155 1156 1157 1158 1159 1160 1161 1162 1163 1164 1165 1166 1167 1168 1169
  val ftq_occupancy_map = (0 to FtqSize).map(i =>
    f"ftq_has_entry_$i" ->( validEntries === i.U)
  ).foldLeft(Map[String, UInt]())(_+_)

  val perfCountsMap = Map(
    "BpInstr" -> PopCount(mbpInstrs),
    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
    "BpRight"  -> PopCount(mbpRights),
    "BpWrong"  -> PopCount(mbpWrongs),
    "BpBRight" -> PopCount(mbpBRights),
    "BpBWrong" -> PopCount(mbpBWrongs),
    "BpJRight" -> PopCount(mbpJRights),
    "BpJWrong" -> PopCount(mbpJWrongs),
    "BpIRight" -> PopCount(mbpIRights),
    "BpIWrong" -> PopCount(mbpIWrongs),
    "BpCRight" -> PopCount(mbpCRights),
    "BpCWrong" -> PopCount(mbpCWrongs),
    "BpRRight" -> PopCount(mbpRRights),
    "BpRWrong" -> PopCount(mbpRWrongs),

    "ftb_false_hit"                -> PopCount(ftb_false_hit),
    "ftb_hit"                      -> PopCount(ftb_hit),
    "ftb_new_entry"                -> PopCount(ftb_new_entry),
    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
    "ftb_old_entry"                -> PopCount(ftb_old_entry),
    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
L
Lingrui98 已提交
1170
  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++ s2_entry_len_map ++
L
Lingrui98 已提交
1171
  s3_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map ++
1172 1173
  mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
  correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
L
Lingrui98 已提交
1174 1175 1176

  for((key, value) <- perfCountsMap) {
    XSPerfAccumulate(key, value)
L
Lingrui98 已提交
1177
  }
1178

L
Lingrui98 已提交
1179 1180 1181 1182 1183 1184 1185 1186 1187
  // --------------------------- Debug --------------------------------
  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")

1188 1189 1190 1191 1192 1193 1194 1195 1196 1197 1198 1199 1200 1201 1202 1203 1204 1205 1206 1207 1208 1209 1210 1211 1212 1213 1214 1215 1216 1217 1218 1219 1220 1221 1222 1223
  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isBr,
  //         isWrong ^ Mux(ans.hit.asBool,
  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
  //           !taken),
  //         !taken),
  //       false.B)
  //     }
  //   }

  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isBr,
  //         isWrong ^ Mux(ans.hit.asBool,
  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
  //           !taken),
  //         !taken),
  //       false.B)
  //     }
  //   }

  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isBr,
  //         isWrong ^ (ans.taken.asBool === taken),
  //       false.B)
  //     }
  //   }

  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
Y
Yinan Xu 已提交
1224
  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
1225 1226 1227 1228 1229 1230 1231 1232 1233 1234 1235 1236 1237 1238 1239 1240 1241 1242 1243 1244 1245 1246 1247 1248 1249 1250 1251
  //         isWrong ^ (!taken),
  //           false.B)
  //     }
  //   }

  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
  //         isWrong ^ (ans.target === commitEntry.target),
  //           false.B)
  //     }
  //   }

  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
  //   // btb and ubtb pred jal and jalr as well
  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)

  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)

  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
1252

1253 1254
  val perfEvents = Seq(
    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
L
Lingrui98 已提交
1255
    ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1256 1257 1258 1259 1260 1261 1262 1263 1264 1265 1266 1267 1268 1269 1270 1271 1272 1273 1274 1275 1276 1277 1278
    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
    ("BpRight                ", PopCount(mbpRights)                                                         ),
    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
  )
1279
  generatePerfEvent()
1280
}