NewFtq.scala 50.2 KB
Newer Older
L
Lingrui98 已提交
1 2
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
L
Lingrui98 已提交
3
* Copyright (c) 2020-2021 Peng Cheng Laboratory
L
Lingrui98 已提交
4 5 6 7 8 9 10 11 12 13 14 15 16
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

17
package xiangshan.frontend
L
Lingrui98 已提交
18 19 20 21 22 23 24

import chipsalliance.rocketchip.config.Parameters
import chisel3._
import chisel3.util._
import utils.{AsyncDataModuleTemplate, CircularQueuePtr, DataModuleTemplate, HasCircularQueuePtrHelper, SRAMTemplate, SyncDataModuleTemplate, XSDebug, XSPerfAccumulate, XSError}
import xiangshan._
import scala.tools.nsc.doc.model.Val
25 26
import utils.{ParallelPriorityMux, ParallelPriorityEncoder}
import xiangshan.backend.{CtrlToFtqIO}
L
Lingrui98 已提交
27
import firrtl.annotations.MemoryLoadFileType
L
Lingrui98 已提交
28 29 30 31 32 33 34

class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
  p => p(XSCoreParamsKey).FtqSize
){
  override def cloneType = (new FtqPtr).asInstanceOf[this.type]
}

35 36 37 38 39 40
object FtqPtr {
  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
    val ptr = Wire(new FtqPtr)
    ptr.flag := f
    ptr.value := v
    ptr
L
Lingrui98 已提交
41
  }
42 43 44
  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
    apply(!ptr.flag, ptr.value)
  }
L
Lingrui98 已提交
45 46
}

47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69
class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {

  val io = IO(new Bundle() {
    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
    val ren = Input(Vec(numRead, Bool()))
    val rdata = Output(Vec(numRead, gen))
    val waddr = Input(UInt(log2Up(FtqSize).W))
    val wen = Input(Bool())
    val wdata = Input(gen)
  })

  for(i <- 0 until numRead){
    val sram = Module(new SRAMTemplate(gen, FtqSize))
    sram.io.r.req.valid := io.ren(i)
    sram.io.r.req.bits.setIdx := io.raddr(i)
    io.rdata(i) := sram.io.r.resp.data(0)
    sram.io.w.req.valid := io.wen
    sram.io.w.req.bits.setIdx := io.waddr
    sram.io.w.req.bits.data := VecInit(io.wdata)
  }

}

70
class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
71
  // TODO: move pftAddr, oversize, carry to another mem
L
Lingrui98 已提交
72
  val startAddr = UInt(VAddrBits.W)
L
Lingrui98 已提交
73
  val nextRangeAddr = UInt(VAddrBits.W)
74
  val pftAddr = UInt((log2Ceil(PredictWidth)+1).W)
75
  val isNextMask = Vec(PredictWidth, Bool())
76
  val oversize = Bool()
77
  val carry = Bool()
78
  def getPc(offset: UInt) = {
79 80
    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits)
    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits-1, instOffsetBits)
L
Lingrui98 已提交
81
    Cat(getHigher(Mux(isNextMask(offset), nextRangeAddr, startAddr)),
L
Lingrui98 已提交
82
        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
83
  }
84 85
  def getFallThrough() = {
    getFallThroughAddr(this.startAddr, this.carry, this.pftAddr)
86
  }
87 88 89
  def fallThroughError() = {
    !carry && startAddr(instOffsetBits+log2Ceil(PredictWidth), instOffsetBits) > pftAddr
  }
90 91 92 93 94 95 96 97 98 99 100
  def fromBranchPrediction(resp: BranchPredictionBundle) = {
    this.startAddr := resp.pc
    this.nextRangeAddr := resp.pc + (FetchWidth * 4).U
    this.pftAddr := resp.ftb_entry.pftAddr
    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
    ))
    this.oversize := resp.ftb_entry.oversize
    this.carry := resp.ftb_entry.carry
    this
  }
L
Lingrui98 已提交
101 102 103
  override def toPrintable: Printable = {
    p"startAddr:${Hexadecimal(startAddr)}, fallThru:${Hexadecimal(getFallThrough())}"
  }
104
}
L
Lingrui98 已提交
105

106
class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
107
  val brMask = Vec(PredictWidth, Bool())
108
  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
109
  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
L
Lingrui98 已提交
110
  val jalTarget = UInt(VAddrBits.W)
111
  val rvcMask = Vec(PredictWidth, Bool())
L
Lingrui98 已提交
112 113 114 115
  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139

  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
    val pds = pdWb.pd
    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
    this.jalTarget := pdWb.jalTarget
  }

  def toPd(offset: UInt) = {
    require(offset.getWidth == log2Ceil(PredictWidth))
    val pd = Wire(new PreDecodeInfo)
    pd.valid := true.B
    pd.isRVC := rvcMask(offset)
    val isBr = brMask(offset)
    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
    pd
  }
140 141
}

L
Lingrui98 已提交
142 143


144
class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
145 146
  val rasSp = UInt(log2Ceil(RasSize).W)
  val rasEntry = new RASEntry
147
  val specCnt = Vec(numBr, UInt(10.W))
L
Lingrui98 已提交
148
  val ghist = new GlobalHistory
149
  val phist = UInt(PathHistoryLength.W)
L
Lingrui98 已提交
150
  val phNewBit = UInt(1.W)
151 152 153 154 155 156 157 158 159 160

  def fromBranchPrediction(resp: BranchPredictionBundle) = {
    this.rasSp := resp.rasSp
    this.rasEntry := resp.rasTop
    this.specCnt := resp.specCnt
    this.ghist := resp.ghist
    this.phist := resp.phist
    this.phNewBit := resp.pc(instOffsetBits)
    this
  }
161 162
}

163 164
class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
  val meta = UInt(MaxMetaLength.W)
165 166
}

167 168 169 170 171
class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
  val target = UInt(VAddrBits.W)
  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
}

172
class FtqEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
173 174
  val startAddr = UInt(VAddrBits.W)
  val fallThruAddr = UInt(VAddrBits.W)
175
  val isNextMask = Vec(PredictWidth, Bool())
L
Lingrui98 已提交
176

177
  val meta = UInt(MaxMetaLength.W)
178 179 180 181

  val rasSp = UInt(log2Ceil(RasSize).W)
  val rasEntry = new RASEntry
  val hist = new GlobalHistory
182
  val specCnt = Vec(numBr, UInt(10.W))
Y
Yinan Xu 已提交
183

184 185
  val valids = Vec(PredictWidth, Bool())
  val brMask = Vec(PredictWidth, Bool())
186 187
  // isJalr, isCall, isRet
  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
188
  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
Y
Yinan Xu 已提交
189

190 191
  val mispredVec = Vec(PredictWidth, Bool())
  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
192
  val target = UInt(VAddrBits.W)
L
Lingrui98 已提交
193 194
}

195
class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
196
  val ptr = Output(new FtqPtr)
197
  val offset = Output(UInt(log2Ceil(PredictWidth).W))
198 199
  val data = Input(gen)
  def apply(ptr: FtqPtr, offset: UInt) = {
L
Lingrui98 已提交
200 201 202
    this.ptr := ptr
    this.offset := offset
    this.data
203 204 205 206 207
  }
  override def cloneType = (new FtqRead(gen)).asInstanceOf[this.type]
}


208
class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
209 210
  val redirect = Valid(new BranchPredictionRedirect)
  val update = Valid(new BranchPredictionUpdate)
211
  val enq_ptr = Output(new FtqPtr)
212 213
}

214
class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
215
  val req = Decoupled(new FetchRequestBundle)
216
  val redirect = Valid(new Redirect)
217 218 219 220 221 222 223 224 225 226 227
  val flushFromBpu = new Bundle {
    // when ifu pipeline is not stalled,
    // a packet from bpu s3 can reach f1 at most
    val s2 = Valid(new FtqPtr)
    val s3 = Valid(new FtqPtr)
    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
      src.valid && !isAfter(src.bits, idx_to_flush)
    }
    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
    def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
  }
228 229
}

230 231
trait HasBackendRedirectInfo extends HasXSParameter {
  def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt + 1
L
Lingrui98 已提交
232
  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
233 234 235 236
}

class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
  val pc_reads = Vec(1 + numRedirect + 1 + 1, Flipped(new FtqRead(UInt(VAddrBits.W))))
237 238 239
  val target_read = Flipped(new FtqRead(UInt(VAddrBits.W)))
  def getJumpPcRead = pc_reads.head
  def getRedirectPcRead = VecInit(pc_reads.tail.dropRight(2))
L
Lingrui98 已提交
240
  def getMemPredPcRead = pc_reads.init.last
Y
Yinan Xu 已提交
241
  def getRobFlushPcRead = pc_reads.last
242 243
}

L
Lingrui98 已提交
244 245 246 247 248 249 250 251 252 253 254 255 256

class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
  val io = IO(new Bundle {
    val start_addr = Input(UInt(VAddrBits.W))
    val old_entry = Input(new FTBEntry)
    val pd = Input(new Ftq_pd_Entry)
    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
    val target = Input(UInt(VAddrBits.W))
    val hit = Input(Bool())
    val mispredict_vec = Input(Vec(PredictWidth, Bool()))

    val new_entry = Output(new FTBEntry)
    val new_br_insert_pos = Output(Vec(numBr, Bool()))
257
    val taken_mask = Output(Vec(numBr, Bool()))
L
Lingrui98 已提交
258
    val mispred_mask = Output(Vec(numBr+1, Bool()))
259 260 261 262

    // for perf counters
    val is_init_entry = Output(Bool())
    val is_old_entry = Output(Bool())
263 264
    val is_new_br = Output(Bool())
    val is_jalr_target_modified = Output(Bool())
265
    val is_always_taken_modified = Output(Bool())
266
    val is_br_full = Output(Bool())
L
Lingrui98 已提交
267 268 269 270 271 272 273 274 275 276 277
  })

  // no mispredictions detected at predecode
  val hit = io.hit
  val pd = io.pd

  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))


  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
  val entry_has_jmp = pd.jmpInfo.valid
L
Lingrui98 已提交
278 279 280 281
  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
282 283
  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
  val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
Y
Yinan Xu 已提交
284

L
Lingrui98 已提交
285
  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
286
  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
L
Lingrui98 已提交
287

288 289
  def carryPos = log2Ceil(PredictWidth)+instOffsetBits+1
  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
L
Lingrui98 已提交
290 291 292 293 294
  // if not hit, establish a new entry
  init_entry.valid := true.B
  // tag is left for ftb to assign
  init_entry.brValids(0) := cfi_is_br
  init_entry.brOffset(0) := io.cfiIndex.bits
295
  init_entry.setByBrTarget(0, io.start_addr, io.target)
296 297
  init_entry.always_taken(0) := cfi_is_br // set to always taken on init
  init_entry.always_taken(1) := false.B
L
Lingrui98 已提交
298
  init_entry.jmpOffset := pd.jmpOffset
L
Lingrui98 已提交
299
  init_entry.jmpValid := new_jmp_is_jal || new_jmp_is_jalr
300
  init_entry.setByJmpTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget))
301
  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
302
  init_entry.pftAddr := Mux(entry_has_jmp, jmpPft, getLower(io.start_addr) + ((FetchWidth*4)>>instOffsetBits).U + Mux(last_br_rvi, 1.U, 0.U))
303
  init_entry.carry   := Mux(entry_has_jmp, jmpPft(carryPos-instOffsetBits), io.start_addr(carryPos-1) || (io.start_addr(carryPos-2, instOffsetBits).andR && last_br_rvi))
L
Lingrui98 已提交
304 305 306
  init_entry.isJalr := new_jmp_is_jalr
  init_entry.isCall := new_jmp_is_call
  init_entry.isRet  := new_jmp_is_ret
L
Lingrui98 已提交
307
  init_entry.last_is_rvc := Mux(entry_has_jmp, pd.rvcMask(pd.jmpOffset), pd.rvcMask.last)
308

309
  init_entry.oversize := last_br_rvi || last_jmp_rvi
L
Lingrui98 已提交
310 311 312 313 314 315 316 317 318 319 320 321 322

  // if hit, check whether a new cfi(only br is possible) is detected
  val oe = io.old_entry
  val br_recorded_vec = VecInit((oe.brValids zip oe.brOffset).map {
    case (v, off) => v && (off === io.cfiIndex.bits)
  })
  val br_recorded = br_recorded_vec.asUInt.orR
  val is_new_br = cfi_is_br && !br_recorded
  val br_full = oe.brValids.asUInt.andR // all slots have brs
  val new_br_offset = io.cfiIndex.bits
  // vec(i) means new br will be inserted BEFORE old br(i)
  val new_br_insert_onehot = VecInit((0 until numBr).map{
    i => i match {
323
      case 0 => !oe.brValids(0) || new_br_offset < oe.brOffset(0)
L
Lingrui98 已提交
324 325 326 327 328 329
      case idx => oe.brValids(idx-1) && new_br_offset > oe.brOffset(idx-1) &&
        (!oe.brValids(idx) || new_br_offset < oe.brOffset(idx))
    }
  })

  val old_entry_modified = WireInit(io.old_entry)
330
  val (new_br_lower, new_br_tar_stat) = old_entry_modified.getBrLowerStatByTarget(io.start_addr, io.target)
L
Lingrui98 已提交
331 332 333 334
  for (i <- 0 until numBr) {
    old_entry_modified.brOffset(i)  :=  Mux(new_br_insert_onehot(i), new_br_offset,
                                          Mux(oe.brOffset(i) < new_br_offset, oe.brOffset(i),
                                            (if (i != 0) oe.brOffset(i-1) else oe.brOffset(i))))
335 336 337 338 339 340
    old_entry_modified.brLowers(i) :=  Mux(new_br_insert_onehot(i), new_br_lower,
                                          Mux(oe.brOffset(i) < new_br_offset, oe.brLowers(i),
                                            (if (i != 0) oe.brLowers(i-1) else oe.brLowers(i))))
    old_entry_modified.brTarStats(i) := Mux(new_br_insert_onehot(i), new_br_tar_stat,
                                          Mux(oe.brOffset(i) < new_br_offset, oe.brTarStats(i),
                                            (if (i != 0) oe.brTarStats(i-1) else oe.brTarStats(i))))
341 342 343
    old_entry_modified.always_taken(i) := Mux(new_br_insert_onehot(i), true.B,
                                            Mux(oe.brOffset(i) < new_br_offset, false.B,
                                              (if (i != 0) oe.always_taken(i-1) else oe.always_taken(i))))
L
Lingrui98 已提交
344
  }
L
Lingrui98 已提交
345
  old_entry_modified.brValids := VecInit((oe.brValids zip new_br_insert_onehot).map{case (v1, v2) => v1 || v2})
L
Lingrui98 已提交
346 347 348 349 350 351

  // in this case, pft_addr should be the addrs of the last br in packet
  val pft_need_to_change = is_new_br && br_full
  // it should either be the given last br or the new br
  when (pft_need_to_change) {
    val new_pft_offset = Mux(new_br_insert_onehot.asUInt.orR, oe.brOffset.last, new_br_offset)
352 353 354
    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
    old_entry_modified.last_is_rvc := pd.rvcMask(new_pft_offset - 1.U) // TODO: fix this
    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
355
    old_entry_modified.oversize := false.B
L
Lingrui98 已提交
356
    old_entry_modified.jmpValid := false.B
L
Lingrui98 已提交
357 358
    old_entry_modified.isCall := false.B
    old_entry_modified.isRet := false.B
L
Lingrui98 已提交
359 360
  }

361
  val old_entry_jmp_target_modified = WireInit(oe)
362 363 364
  val old_target = oe.getJmpTarget(io.start_addr)
  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) // TODO: pass full jalr target
  when (jalr_target_modified) {
365
    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
366 367 368 369 370 371 372 373 374
    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
  }

  val old_entry_always_taken = WireInit(oe)
  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
  for (i <- 0 until numBr) {
    old_entry_always_taken.always_taken(i) :=
      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
    always_taken_modified_vec(i) := oe.always_taken(i) && !(io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i))
375
  }
376 377 378 379 380 381
  val always_taken_modified = always_taken_modified_vec.reduce(_||_)



  val derived_from_old_entry =
    Mux(is_new_br, old_entry_modified,
382
      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
383

Y
Yinan Xu 已提交
384

385
  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
386

L
Lingrui98 已提交
387
  io.new_br_insert_pos := new_br_insert_onehot
388
  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
389 390
    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
  })
L
Lingrui98 已提交
391 392 393 394
  for (i <- 0 until numBr) {
    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
  }
  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
395 396 397

  // for perf counters
  io.is_init_entry := !hit
398
  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
399
  io.is_new_br := hit && is_new_br
400
  io.is_jalr_target_modified := hit && jalr_target_modified
401
  io.is_always_taken_modified := hit && always_taken_modified
402
  io.is_br_full := hit && is_new_br && br_full
L
Lingrui98 已提交
403 404
}

L
Lingrui98 已提交
405
class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
406
  with HasBackendRedirectInfo with BPUUtils with HasBPUConst {
L
Lingrui98 已提交
407 408 409
  val io = IO(new Bundle {
    val fromBpu = Flipped(new BpuToFtqIO)
    val fromIfu = Flipped(new IfuToFtqIO)
410
    val fromBackend = Flipped(new CtrlToFtqIO)
Y
Yinan Xu 已提交
411

L
Lingrui98 已提交
412 413
    val toBpu = new FtqToBpuIO
    val toIfu = new FtqToIfuIO
414
    val toBackend = new FtqToCtrlIO
L
Lingrui98 已提交
415

416 417 418 419 420 421
    val bpuInfo = new Bundle {
      val bpRight = Output(UInt(XLEN.W))
      val bpWrong = Output(UInt(XLEN.W))
    }
  })
  io.bpuInfo := DontCare
L
Lingrui98 已提交
422

Y
Yinan Xu 已提交
423
  val robFlush = io.fromBackend.robFlush
L
Lingrui98 已提交
424 425 426
  val stage2Redirect = io.fromBackend.stage2Redirect
  val stage3Redirect = io.fromBackend.stage3Redirect

Y
Yinan Xu 已提交
427
  val stage2Flush = stage2Redirect.valid || robFlush.valid
L
Lingrui98 已提交
428
  val backendFlush = stage2Flush || RegNext(stage2Flush)
429
  val ifuFlush = Wire(Bool())
L
Lingrui98 已提交
430

431
  val flush = stage2Flush || RegNext(stage2Flush)
L
Lingrui98 已提交
432 433 434

  val allowBpuIn, allowToIfu = WireInit(false.B)
  val flushToIfu = !allowToIfu
Y
Yinan Xu 已提交
435 436 437
  allowBpuIn := !ifuFlush && !robFlush.valid && !stage2Redirect.valid && !stage3Redirect.valid
  allowToIfu := !ifuFlush && !robFlush.valid && !stage2Redirect.valid && !stage3Redirect.valid

L
Lingrui98 已提交
438
  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
L
Lingrui98 已提交
439 440
  val validEntries = distanceBetween(bpuPtr, commPtr)

441 442 443
  // **********************************************************************
  // **************************** enq from bpu ****************************
  // **********************************************************************
L
Lingrui98 已提交
444 445 446 447 448 449 450 451
  val new_entry_ready = validEntries < FtqSize.U
  io.fromBpu.resp.ready := new_entry_ready

  val bpu_s2_resp = io.fromBpu.resp.bits.s2
  val bpu_s3_resp = io.fromBpu.resp.bits.s3
  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
  val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect

452
  io.toBpu.enq_ptr := bpuPtr
L
Lingrui98 已提交
453 454
  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
455

L
Lingrui98 已提交
456 457 458 459
  val bpu_in_resp = WireInit(io.fromBpu.resp.bits.selectedResp)
  val bpu_in_stage = WireInit(io.fromBpu.resp.bits.selectedRespIdx)
  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
  val bpu_in_resp_idx = bpu_in_resp_ptr.value
460

Y
Yinan Xu 已提交
461
  // read ports:                            jumpPc + redirects + loadPred + robFlush + ifuReq1 + ifuReq2 + commitUpdate
462
  val ftq_pc_mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, 1+numRedirect+2+1+1+1, 1))
463
  // resp from uBTB
L
Lingrui98 已提交
464 465 466
  ftq_pc_mem.io.wen(0) := bpu_in_fire
  ftq_pc_mem.io.waddr(0) := bpu_in_resp_idx
  ftq_pc_mem.io.wdata(0).fromBranchPrediction(bpu_in_resp)
467

468 469
  //                                                            ifuRedirect + backendRedirect + commit
  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
470 471 472 473
  // these info is intended to enq at the last stage of bpu
  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
  ftq_redirect_sram.io.wdata.fromBranchPrediction(io.fromBpu.resp.bits.lastStage)
474 475

  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
476 477 478
  // these info is intended to enq at the last stage of bpu
  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
479
  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.meta
480 481
  //                                                            ifuRedirect + backendRedirect + commit
  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
482 483 484
  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.lastStage.ftb_entry
L
Lingrui98 已提交
485

Y
Yinan Xu 已提交
486

487 488
  // multi-write
  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W)))
489
  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
490
  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
491
  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
Y
Yinan Xu 已提交
492

L
Lingrui98 已提交
493
  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
494
  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
L
Lingrui98 已提交
495
    VecInit(Seq.fill(PredictWidth)(c_invalid))
496
  }))
Y
Yinan Xu 已提交
497

L
Lingrui98 已提交
498
  val f_to_send :: f_sent :: Nil = Enum(2)
499
  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
L
Lingrui98 已提交
500 501 502 503 504

  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))


L
Lingrui98 已提交
505 506 507 508 509 510 511
  when (bpu_in_fire) {
    entry_fetch_status(bpu_in_resp_idx) := f_to_send
    commitStateQueue(bpu_in_resp_idx) := VecInit(Seq.fill(PredictWidth)(c_invalid))
    cfiIndex_vec(bpu_in_resp_idx) := bpu_in_resp.genCfiIndex
    mispredict_vec(bpu_in_resp_idx) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
    update_target(bpu_in_resp_idx) := bpu_in_resp.target
    pred_stage(bpu_in_resp_idx) := bpu_in_stage
512
  }
Y
Yinan Xu 已提交
513

514
  bpuPtr := bpuPtr + enq_fire
L
Lingrui98 已提交
515
  ifuPtr := ifuPtr + io.toIfu.req.fire
L
Lingrui98 已提交
516 517 518 519 520

  // only use ftb result to assign hit status
  when (bpu_s2_resp.valid) {
    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.preds.hit, h_hit, h_not_hit)
  }
Y
Yinan Xu 已提交
521 522


523 524
  io.toIfu.flushFromBpu.s2.valid := bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
525 526
  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
L
Lingrui98 已提交
527 528 529 530
    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
      ifuPtr := bpu_s2_resp.ftq_idx
    }
531
  }
Y
Yinan Xu 已提交
532

533 534
  io.toIfu.flushFromBpu.s3.valid := bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
535 536
  when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
L
Lingrui98 已提交
537 538 539 540
    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
      ifuPtr := bpu_s3_resp.ftq_idx
    }
541 542 543 544
    XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
  }

  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
L
Lingrui98 已提交
545 546 547 548

  // ****************************************************************
  // **************************** to ifu ****************************
  // ****************************************************************
L
Lingrui98 已提交
549 550 551
  val bpu_in_bypass_buf = RegEnable(ftq_pc_mem.io.wdata(0), enable=bpu_in_fire)
  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
  val last_cycle_bpu_in = RegNext(bpu_in_fire)
L
Lingrui98 已提交
552 553 554 555 556
  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)

  // read pc and target
  ftq_pc_mem.io.raddr.init.init.last := ifuPtr.value
  ftq_pc_mem.io.raddr.init.last := (ifuPtr+1.U).value
Y
Yinan Xu 已提交
557

L
Lingrui98 已提交
558 559 560 561 562 563 564
  val toIfuReq = Wire(chiselTypeOf(io.toIfu.req))

  toIfuReq.valid := allowToIfu && entry_fetch_status(ifuPtr.value) === f_to_send && ifuPtr =/= bpuPtr
  toIfuReq.bits.ftqIdx := ifuPtr
  toIfuReq.bits.target := update_target(ifuPtr.value)
  toIfuReq.bits.ftqOffset := cfiIndex_vec(ifuPtr.value)
  toIfuReq.bits.fallThruError  := false.B
Y
Yinan Xu 已提交
565

L
Lingrui98 已提交
566 567
  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
    toIfuReq.bits.fromFtqPcBundle(bpu_in_bypass_buf)
L
Lingrui98 已提交
568 569 570 571 572 573 574 575 576 577
  }.elsewhen (last_cycle_to_ifu_fire) {
    toIfuReq.bits.fromFtqPcBundle(ftq_pc_mem.io.rdata.init.last)
  }.otherwise {
    toIfuReq.bits.fromFtqPcBundle(ftq_pc_mem.io.rdata.init.init.last)
  }

  io.toIfu.req <> toIfuReq

  // when fall through is smaller in value than start address, there must be a false hit
  when (toIfuReq.bits.fallThroughError() && entry_hit_status(ifuPtr.value) === h_hit) {
578 579 580 581 582
    when (io.toIfu.req.fire &&
      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
    ) {
      entry_hit_status(ifuPtr.value) := h_false_hit
583
      XSDebug(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", toIfuReq.bits.startAddr, toIfuReq.bits.fallThruAddr)
584
    }
L
Lingrui98 已提交
585 586
    io.toIfu.req.bits.fallThruAddr   := toIfuReq.bits.startAddr + (FetchWidth*4).U
    io.toIfu.req.bits.fallThruError  := true.B
587
    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", toIfuReq.bits.startAddr, toIfuReq.bits.fallThruAddr)
L
Lingrui98 已提交
588 589
  }

L
Lingrui98 已提交
590 591 592 593 594
  val ifu_req_should_be_flushed =
    io.toIfu.flushFromBpu.shouldFlushByStage2(toIfuReq.bits.ftqIdx) ||
    io.toIfu.flushFromBpu.shouldFlushByStage3(toIfuReq.bits.ftqIdx)

  when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
L
Lingrui98 已提交
595 596 597 598
    entry_fetch_status(ifuPtr.value) := f_sent
  }


599 600 601 602
  // *********************************************************************
  // **************************** wb from ifu ****************************
  // *********************************************************************
  val pdWb = io.fromIfu.pdWb
603
  val pds = pdWb.bits.pd
604
  val ifu_wb_valid = pdWb.valid
L
Lingrui98 已提交
605
  val ifu_wb_idx = pdWb.bits.ftqIdx.value
606 607
  // read ports:                                                         commit update
  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
608
  ftq_pd_mem.io.wen(0) := ifu_wb_valid
609
  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
610
  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
L
Lingrui98 已提交
611

612
  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
L
Lingrui98 已提交
613 614 615 616 617 618
  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
  val pd_reg       = RegEnable(pds,             enable = pdWb.valid)
  val start_pc_reg = RegEnable(pdWb.bits.pc(0), enable = pdWb.valid)
  val wb_idx_reg   = RegEnable(ifu_wb_idx,      enable = pdWb.valid)

619
  when (ifu_wb_valid) {
620 621 622
    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
      case (v, inRange) => v && inRange
    })
L
Lingrui98 已提交
623 624 625
    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
      case (qe, v) => when (v) { qe := c_valid }
    }
626 627
  }

L
Lingrui98 已提交
628 629
  ifuWbPtr := ifuWbPtr + ifu_wb_valid

630 631
  ftb_entry_mem.io.raddr.head := ifu_wb_idx
  val has_false_hit = WireInit(false.B)
L
Lingrui98 已提交
632 633
  when (RegNext(hit_pd_valid)) {
    // check for false hit
634
    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
L
Lingrui98 已提交
635 636 637 638
    // we check cfis that bpu predicted
    val br_false_hit = (pred_ftb_entry.brValids zip pred_ftb_entry.brOffset).map{
      case (v, offset) => v && !(pd_reg(offset).valid && pd_reg(offset).isBr)
    }.reduce(_||_)
Y
Yinan Xu 已提交
639

L
Lingrui98 已提交
640
    val jmpOffset = pred_ftb_entry.jmpOffset
L
Lingrui98 已提交
641 642 643 644 645 646 647
    val jmp_pd = pd_reg(jmpOffset)
    val jal_false_hit = pred_ftb_entry.jmpValid &&
      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
      )
648 649

    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
650
    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
Y
Yinan Xu 已提交
651

652
    // assert(!has_false_hit)
653 654 655 656
  }

  when (has_false_hit) {
    entry_hit_status(wb_idx_reg) := h_false_hit
L
Lingrui98 已提交
657 658 659 660 661 662
  }


  // **********************************************************************
  // **************************** backend read ****************************
  // **********************************************************************
663 664 665 666 667 668 669

  // pc reads
  for ((req, i) <- io.toBackend.pc_reads.zipWithIndex) {
    ftq_pc_mem.io.raddr(i) := req.ptr.value
    req.data := ftq_pc_mem.io.rdata(i).getPc(RegNext(req.offset))
  }
  // target read
670
  io.toBackend.target_read.data := RegNext(update_target(io.toBackend.target_read.ptr.value))
671 672 673 674

  // *******************************************************************************
  // **************************** redirect from backend ****************************
  // *******************************************************************************
Y
Yinan Xu 已提交
675

676
  // redirect read cfiInfo, couples to redirectGen s2
677 678 679 680 681 682
  ftq_redirect_sram.io.ren.init.last := io.fromBackend.stage2Redirect.valid
  ftq_redirect_sram.io.raddr.init.last := io.fromBackend.stage2Redirect.bits.ftqIdx.value

  ftb_entry_mem.io.raddr.init.last := io.fromBackend.stage2Redirect.bits.ftqIdx.value

  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
683
  val fromBackendRedirect = WireInit(io.fromBackend.stage3Redirect)
684
  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
685
  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
686 687 688 689 690 691 692 693 694 695 696 697

  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset

  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
      !(r_ftb_entry.brValids(numBr-1) && r_ftqOffset > r_ftb_entry.brOffset(numBr-1)))

    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
        !(r_ftb_entry.brValids(numBr-1) && r_ftqOffset > r_ftb_entry.brOffset(numBr-1)))
  }.otherwise {
698
    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
699 700
    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
  }
701

702 703 704 705 706

  // ***************************************************************************
  // **************************** redirect from ifu ****************************
  // ***************************************************************************
  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new Redirect)))
707
  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
708 709
  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
L
Lingrui98 已提交
710
  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
711 712 713 714 715

  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
J
JinYue 已提交
716
  ifuRedirectCfiUpdate.target := pdWb.bits.target
717 718 719
  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid

L
Lingrui98 已提交
720 721
  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new Redirect)))
  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
722
  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
723

724 725
  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
Y
Yinan Xu 已提交
726

727
  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
L
Lingrui98 已提交
728 729

  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
730
  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
731 732 733
  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
  }
734

Y
Yinan Xu 已提交
735
  // *********************************************************************
736 737 738
  // **************************** wb from exu ****************************
  // *********************************************************************

L
Lingrui98 已提交
739 740 741 742 743 744
  def extractRedirectInfo(wb: Valid[Redirect]) = {
    val ftqIdx = wb.bits.ftqIdx.value
    val ftqOffset = wb.bits.ftqOffset
    val taken = wb.bits.cfiUpdate.taken
    val mispred = wb.bits.cfiUpdate.isMisPred
    (wb.valid, ftqIdx, ftqOffset, taken, mispred)
745 746
  }

747 748 749 750
  // fix mispredict entry
  val lastIsMispredict = RegNext(
    stage2Redirect.valid && stage2Redirect.bits.level === RedirectLevel.flushAfter, init = false.B
  )
751

752
  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
L
Lingrui98 已提交
753 754 755
    val (r_valid, r_idx, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
756
    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
L
Lingrui98 已提交
757
      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
758
    }
759
    when (cfiIndex_bits_wen) {
L
Lingrui98 已提交
760
      cfiIndex_vec(r_idx).bits := r_offset
761
    }
L
Lingrui98 已提交
762
    update_target(r_idx) := redirect.bits.cfiUpdate.target
763
    if (isBackend) {
L
Lingrui98 已提交
764
      mispredict_vec(r_idx)(r_offset) := r_mispred
765 766 767
    }
  }

768 769
  when(stage3Redirect.valid && lastIsMispredict) {
    updateCfiInfo(stage3Redirect)
770 771
  }.elsewhen (ifuRedirectToBpu.valid) {
    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
772 773 774 775 776
  }

  // ***********************************************************************************
  // **************************** flush ptr and state queue ****************************
  // ***********************************************************************************
L
Lingrui98 已提交
777

778 779 780 781
  class RedirectInfo extends Bundle {
    val valid = Bool()
    val ftqIdx = new FtqPtr
    val ftqOffset = UInt(4.W)
L
Lingrui98 已提交
782
    val flushItSelf = Bool()
783 784 785 786 787
    def apply(redirect: Valid[Redirect]) = {
      this.valid := redirect.valid
      this.ftqIdx := redirect.bits.ftqIdx
      this.ftqOffset := redirect.bits.ftqOffset
      this.flushItSelf := RedirectLevel.flushItself(redirect.bits.level)
788 789 790 791
      this
    }
  }
  val redirectVec = Wire(Vec(3, new RedirectInfo))
792
  val robRedirect = robFlush
Y
Yinan Xu 已提交
793 794

  redirectVec.zip(Seq(robRedirect, stage2Redirect, fromIfuRedirect)).map {
795 796
    case (ve, r) => ve(r)
  }
Y
Yinan Xu 已提交
797

L
Lingrui98 已提交
798
  // when redirect, we should reset ptrs and status queues
799
  when(redirectVec.map(r => r.valid).reduce(_||_)){
L
Lingrui98 已提交
800
    val r = PriorityMux(redirectVec.map(r => (r.valid -> r)))
801
    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
L
Lingrui98 已提交
802
    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, r.flushItSelf)
803
    val next = idx + 1.U
804 805 806 807 808 809 810
    bpuPtr := next
    ifuPtr := next
    ifuWbPtr := next
    when (notIfu) {
      commitStateQueue(idx.value).zipWithIndex.foreach({ case (s, i) =>
        when(i.U > offset || i.U === offset && flushItSelf){
          s := c_invalid
L
Lingrui98 已提交
811
        }
812
      })
813 814 815
    }
  }

L
Lingrui98 已提交
816
  // only the valid bit is actually needed
L
Lingrui98 已提交
817 818
  io.toIfu.redirect := DontCare
  io.toIfu.redirect.valid := stage2Flush
Y
Yinan Xu 已提交
819

820
  // commit
Y
Yinan Xu 已提交
821
  for (c <- io.fromBackend.rob_commits) {
822
    when(c.valid) {
L
Lingrui98 已提交
823
      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
824 825 826 827 828 829 830 831 832 833 834 835 836
      // TODO: remove this
      // For instruction fusions, we also update the next instruction
      when (c.bits.isFused === 1.U) {
        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
      }.elsewhen(c.bits.isFused === 2.U) {
        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
      }.elsewhen(c.bits.isFused === 3.U) {
        val index = (c.bits.ftqIdx + 1.U).value
        commitStateQueue(index)(0) := c_commited
      }.elsewhen(c.bits.isFused === 4.U) {
        val index = (c.bits.ftqIdx + 1.U).value
        commitStateQueue(index)(1) := c_commited
      }
837 838
    }
  }
Y
Yinan Xu 已提交
839

840 841 842
  // ****************************************************************
  // **************************** to bpu ****************************
  // ****************************************************************
Y
Yinan Xu 已提交
843

844
  io.toBpu.redirect <> Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
Y
Yinan Xu 已提交
845

Z
zoujr 已提交
846 847
  val may_have_stall_from_bpu = RegInit(false.B)
  val canCommit = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
L
Lingrui98 已提交
848 849 850 851
    Cat(commitStateQueue(commPtr.value).map(s => {
      s === c_invalid || s === c_commited
    })).andR()

852
  // commit reads
L
Lingrui98 已提交
853 854 855 856
  ftq_pc_mem.io.raddr.last := commPtr.value
  val commit_pc_bundle = ftq_pc_mem.io.rdata.last
  ftq_pd_mem.io.raddr.last := commPtr.value
  val commit_pd = ftq_pd_mem.io.rdata.last
857 858 859
  ftq_redirect_sram.io.ren.last := canCommit
  ftq_redirect_sram.io.raddr.last := commPtr.value
  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
L
Lingrui98 已提交
860 861 862
  ftq_meta_1r_sram.io.ren(0) := canCommit
  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
863 864
  ftb_entry_mem.io.raddr.last := commPtr.value
  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
L
Lingrui98 已提交
865

Y
Yinan Xu 已提交
866
  // need one cycle to read mem and srams
867
  val do_commit_ptr = RegNext(commPtr)
Z
zoujr 已提交
868
  val do_commit = RegNext(canCommit, init=false.B)
869 870
  when (canCommit) { commPtr := commPtr + 1.U }
  val commit_state = RegNext(commitStateQueue(commPtr.value))
Z
zoujr 已提交
871 872 873
  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
  when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
    can_commit_cfi.valid := false.B
874
  }
Z
zoujr 已提交
875
  val commit_cfi = RegNext(can_commit_cfi)
876 877 878 879

  val commit_mispredict = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
    case (mis, state) => mis && state === c_commited
  })
Z
zoujr 已提交
880 881
  val can_commit_hit = entry_hit_status(commPtr.value)
  val commit_hit = RegNext(can_commit_hit)
882 883
  val commit_target = RegNext(update_target(commPtr.value))
  val commit_valid = commit_hit === h_hit || commit_cfi.valid // hit or taken
Y
Yinan Xu 已提交
884

Z
zoujr 已提交
885
  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
886
  may_have_stall_from_bpu := can_commit_cfi.valid && !to_bpu_hit && !may_have_stall_from_bpu
887

888
  io.toBpu.update := DontCare
L
Lingrui98 已提交
889
  io.toBpu.update.valid := commit_valid && do_commit
L
Lingrui98 已提交
890
  val update = io.toBpu.update.bits
891 892 893 894 895
  update.false_hit   := commit_hit === h_false_hit
  update.pc          := commit_pc_bundle.startAddr
  update.preds.hit   := commit_hit === h_hit || commit_hit === h_false_hit
  update.meta        := commit_meta.meta
  update.full_target := commit_target
L
Lingrui98 已提交
896
  update.fromFtqRedirectSram(commit_spec_meta)
897

L
Lingrui98 已提交
898 899
  val commit_real_hit = commit_hit === h_hit
  val update_ftb_entry = update.ftb_entry
Y
Yinan Xu 已提交
900

L
Lingrui98 已提交
901
  val ftbEntryGen = Module(new FTBEntryGen).io
L
Lingrui98 已提交
902 903 904 905 906 907
  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
  ftbEntryGen.old_entry      := commit_ftb_entry
  ftbEntryGen.pd             := commit_pd
  ftbEntryGen.cfiIndex       := commit_cfi
  ftbEntryGen.target         := commit_target
  ftbEntryGen.hit            := commit_real_hit
908
  ftbEntryGen.mispredict_vec := commit_mispredict
Y
Yinan Xu 已提交
909

L
Lingrui98 已提交
910
  update_ftb_entry         := ftbEntryGen.new_entry
L
Lingrui98 已提交
911
  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
L
Lingrui98 已提交
912 913 914
  update.mispred_mask      := ftbEntryGen.mispred_mask
  update.old_entry         := ftbEntryGen.is_old_entry
  update.preds.taken_mask  := ftbEntryGen.taken_mask
L
Lingrui98 已提交
915

L
Lingrui98 已提交
916 917 918
  // ******************************************************************************
  // **************************** commit perf counters ****************************
  // ******************************************************************************
L
Lingrui98 已提交
919 920 921 922 923 924 925 926 927 928 929 930 931 932 933 934 935

  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
  val commit_mispred_mask = commit_mispredict.asUInt
  val commit_not_mispred_mask = ~commit_mispred_mask

  val commit_br_mask = commit_pd.brMask.asUInt
  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)

  val mbpInstrs = commit_inst_mask & commit_cfi_mask

  val mbpRights = mbpInstrs & commit_not_mispred_mask
  val mbpWrongs = mbpInstrs & commit_mispred_mask

  io.bpuInfo.bpRight := PopCount(mbpRights)
  io.bpuInfo.bpWrong := PopCount(mbpWrongs)

L
Lingrui98 已提交
936 937 938 939 940 941 942 943 944 945 946 947
  // Cfi Info
  for (i <- 0 until PredictWidth) {
    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
    val v = commit_state(i) === c_commited
    val isBr = commit_pd.brMask(i)
    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
    val isCfi = isBr || isJmp
    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
    val misPred = commit_mispredict(i)
    val ghist = commit_spec_meta.ghist.predHist
    val predCycle = commit_meta.meta(63, 0)
    val target = commit_target
Y
Yinan Xu 已提交
948

L
Lingrui98 已提交
949 950
    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
Y
Yinan Xu 已提交
951
    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
L
Lingrui98 已提交
952 953 954 955 956
    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${Hexadecimal(ghist)}) " +
    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
  }
957

L
Lingrui98 已提交
958 959
  val enq = io.fromBpu.resp
  val perf_redirect = io.fromBackend.stage2Redirect
L
Lingrui98 已提交
960

L
Lingrui98 已提交
961 962 963 964 965
  XSPerfAccumulate("entry", validEntries)
  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
Y
Yinan Xu 已提交
966

L
Lingrui98 已提交
967
  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
968

L
Lingrui98 已提交
969 970
  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
Z
zoujr 已提交
971
  XSPerfAccumulate("bpu_to_ftq_bubble", bpuPtr === ifuPtr)
L
Lingrui98 已提交
972

L
Lingrui98 已提交
973 974 975 976 977 978
  val from_bpu = io.fromBpu.resp.bits
  def in_entry_len_map_gen(resp: BranchPredictionBundle)(stage: String) = {
    val entry_len = (resp.ftb_entry.getFallThrough(resp.pc) - resp.pc) >> instOffsetBits
    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
    val entry_len_map = (1 to PredictWidth+1).map(i =>
      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.valid)
979
    ).foldLeft(Map[String, UInt]())(_+_)
L
Lingrui98 已提交
980 981 982 983 984
    entry_len_map
  }
  val s1_entry_len_map = in_entry_len_map_gen(from_bpu.s1)("s1")
  val s2_entry_len_map = in_entry_len_map_gen(from_bpu.s2)("s2")
  val s3_entry_len_map = in_entry_len_map_gen(from_bpu.s3)("s3")
L
Lingrui98 已提交
985

L
Lingrui98 已提交
986 987 988 989 990 991
  val to_ifu = io.toIfu.req.bits
  val to_ifu_entry_len = (to_ifu.fallThruAddr - to_ifu.startAddr) >> instOffsetBits
  val to_ifu_entry_len_recording_vec = (1 to PredictWidth+1).map(i => to_ifu_entry_len === i.U)
  val to_ifu_entry_len_map = (1 to PredictWidth+1).map(i =>
    f"to_ifu_ftb_entry_len_$i" -> (to_ifu_entry_len_recording_vec(i-1) && io.toIfu.req.fire)
  ).foldLeft(Map[String, UInt]())(_+_)
L
Lingrui98 已提交
992

L
Lingrui98 已提交
993

Y
Yinan Xu 已提交
994

L
Lingrui98 已提交
995
  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
Y
Yinan Xu 已提交
996
  val commit_num_inst_map = (1 to PredictWidth).map(i =>
L
Lingrui98 已提交
997 998
    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
  ).foldLeft(Map[String, UInt]())(_+_)
999

L
Lingrui98 已提交
1000

L
Lingrui98 已提交
1001

L
Lingrui98 已提交
1002 1003 1004 1005
  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
Y
Yinan Xu 已提交
1006

1007

L
Lingrui98 已提交
1008 1009 1010 1011 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022
  val mbpBRights = mbpRights & commit_br_mask
  val mbpJRights = mbpRights & commit_jal_mask
  val mbpIRights = mbpRights & commit_jalr_mask
  val mbpCRights = mbpRights & commit_call_mask
  val mbpRRights = mbpRights & commit_ret_mask

  val mbpBWrongs = mbpWrongs & commit_br_mask
  val mbpJWrongs = mbpWrongs & commit_jal_mask
  val mbpIWrongs = mbpWrongs & commit_jalr_mask
  val mbpCWrongs = mbpWrongs & commit_call_mask
  val mbpRWrongs = mbpWrongs & commit_ret_mask

  val update_valid = io.toBpu.update.valid
  def u(cond: Bool) = update_valid && cond
  val ftb_false_hit = u(update.false_hit)
1023
  // assert(!ftb_false_hit)
L
Lingrui98 已提交
1024 1025 1026 1027 1028 1029 1030 1031
  val ftb_hit = u(commit_hit === h_hit)

  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
  val ftb_new_entry_only_br = ftb_new_entry && !update.ftb_entry.jmpValid
  val ftb_new_entry_only_jmp = ftb_new_entry && !update.ftb_entry.brValids(0)
  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update.ftb_entry.brValids(0) && update.ftb_entry.jmpValid

  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
Y
Yinan Xu 已提交
1032

L
Lingrui98 已提交
1033 1034 1035 1036 1037 1038 1039 1040 1041 1042 1043 1044 1045 1046
  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified

  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
  ).foldLeft(Map[String, UInt]())(_+_)
  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
  ).foldLeft(Map[String, UInt]())(_+_)
Y
Yinan Xu 已提交
1047

L
Lingrui98 已提交
1048 1049 1050 1051 1052 1053 1054 1055 1056 1057 1058 1059 1060 1061 1062 1063 1064 1065 1066 1067 1068 1069 1070 1071 1072 1073 1074 1075 1076 1077 1078 1079 1080 1081 1082 1083 1084 1085
  val ftq_occupancy_map = (0 to FtqSize).map(i =>
    f"ftq_has_entry_$i" ->( validEntries === i.U)
  ).foldLeft(Map[String, UInt]())(_+_)

  val perfCountsMap = Map(
    "BpInstr" -> PopCount(mbpInstrs),
    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
    "BpRight"  -> PopCount(mbpRights),
    "BpWrong"  -> PopCount(mbpWrongs),
    "BpBRight" -> PopCount(mbpBRights),
    "BpBWrong" -> PopCount(mbpBWrongs),
    "BpJRight" -> PopCount(mbpJRights),
    "BpJWrong" -> PopCount(mbpJWrongs),
    "BpIRight" -> PopCount(mbpIRights),
    "BpIWrong" -> PopCount(mbpIWrongs),
    "BpCRight" -> PopCount(mbpCRights),
    "BpCWrong" -> PopCount(mbpCWrongs),
    "BpRRight" -> PopCount(mbpRRights),
    "BpRWrong" -> PopCount(mbpRWrongs),

    "ftb_false_hit"                -> PopCount(ftb_false_hit),
    "ftb_hit"                      -> PopCount(ftb_hit),
    "ftb_new_entry"                -> PopCount(ftb_new_entry),
    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
    "ftb_old_entry"                -> PopCount(ftb_old_entry),
    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++ s1_entry_len_map ++
  s2_entry_len_map ++ s3_entry_len_map ++
  to_ifu_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map

  for((key, value) <- perfCountsMap) {
    XSPerfAccumulate(key, value)
L
Lingrui98 已提交
1086
  }
1087

L
Lingrui98 已提交
1088 1089 1090 1091 1092 1093 1094 1095 1096
  // --------------------------- Debug --------------------------------
  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")

1097 1098 1099 1100 1101 1102 1103 1104 1105 1106 1107 1108 1109 1110 1111 1112 1113 1114 1115 1116 1117 1118 1119 1120 1121 1122 1123 1124 1125 1126 1127 1128 1129 1130 1131 1132
  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isBr,
  //         isWrong ^ Mux(ans.hit.asBool,
  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
  //           !taken),
  //         !taken),
  //       false.B)
  //     }
  //   }

  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isBr,
  //         isWrong ^ Mux(ans.hit.asBool,
  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
  //           !taken),
  //         !taken),
  //       false.B)
  //     }
  //   }

  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isBr,
  //         isWrong ^ (ans.taken.asBool === taken),
  //       false.B)
  //     }
  //   }

  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
Y
Yinan Xu 已提交
1133
  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
1134 1135 1136 1137 1138 1139 1140 1141 1142 1143 1144 1145 1146 1147 1148 1149 1150 1151 1152 1153 1154 1155 1156 1157 1158 1159 1160 1161
  //         isWrong ^ (!taken),
  //           false.B)
  //     }
  //   }

  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
  //       case (((valid, pd), ans), taken) =>
  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
  //         isWrong ^ (ans.target === commitEntry.target),
  //           false.B)
  //     }
  //   }

  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
  //   // btb and ubtb pred jal and jalr as well
  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)

  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)

  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)

1162
}