LoadUnit.scala 11.1 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7 8
import xiangshan.cache._
// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp}
9
import xiangshan.backend.LSUOpType
L
LinJiawei 已提交
10
import xiangshan.backend.fu.fpu.boxF32ToF64
Y
Yinan Xu 已提交
11

Y
Yinan Xu 已提交
12
class LoadToLsqIO extends XSBundle {
Y
Yinan Xu 已提交
13 14 15 16 17
  val loadIn = ValidIO(new LsPipelineBundle)
  val ldout = Flipped(DecoupledIO(new ExuOutput))
  val forward = new LoadForwardQueryIO
}

Y
Yinan Xu 已提交
18 19 20
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
class LoadUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
21
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
22 23
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
Y
Yinan Xu 已提交
24
    val redirect = Flipped(ValidIO(new Redirect))
25 26
    val dtlbReq = DecoupledIO(new TlbReq)
    val dtlbResp = Flipped(DecoupledIO(new TlbResp))
Y
Yinan Xu 已提交
27
    val tlbFeedback = ValidIO(new TlbFeedback)
28
    val dcacheReq = DecoupledIO(new DCacheLoadReq)
Y
Yinan Xu 已提交
29 30
  })

Y
Yinan Xu 已提交
31 32
  val s0_uop = io.in.bits.uop
  val s0_vaddr = io.in.bits.src1 + s0_uop.ctrl.imm
33 34
  val s0_paddr = io.dtlbResp.bits.paddr
  val s0_tlb_miss = io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
35 36 37
  val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0))

  // query DTLB
38 39 40 41 42
  io.dtlbReq.valid := io.out.valid
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
  io.dtlbReq.bits.roqIdx := s0_uop.roqIdx
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
Z
ZhangZifei 已提交
43
  io.dtlbResp.ready := io.out.ready // TODO: check it: io.out.fire()?
Y
Yinan Xu 已提交
44

45 46 47 48 49
  // feedback tlb result to RS
  // Note: can be moved to s1
  io.tlbFeedback.valid := io.out.valid
  io.tlbFeedback.bits.hit := !s0_tlb_miss
  io.tlbFeedback.bits.roqIdx := s0_uop.roqIdx
Y
Yinan Xu 已提交
50 51

  // query DCache
52
  io.dcacheReq.valid := io.in.valid && !s0_uop.roqIdx.needFlush(io.redirect)
53 54 55
  io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
56 57 58 59 60 61 62 63 64 65 66
  io.dcacheReq.bits.data := DontCare

  // TODO: update cache meta
  io.dcacheReq.bits.meta.id       := DontCare
  io.dcacheReq.bits.meta.vaddr    := s0_vaddr
  io.dcacheReq.bits.meta.paddr    := DontCare
  io.dcacheReq.bits.meta.uop      := s0_uop
  io.dcacheReq.bits.meta.mmio     := false.B
  io.dcacheReq.bits.meta.tlb_miss := false.B
  io.dcacheReq.bits.meta.mask     := s0_mask
  io.dcacheReq.bits.meta.replay   := false.B
Y
Yinan Xu 已提交
67 68 69 70 71 72

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
73
  ))
Y
Yinan Xu 已提交
74

75 76
  io.out.valid := io.dcacheReq.fire() && // dcache may not accept load request
    !io.in.bits.uop.roqIdx.needFlush(io.redirect)
Y
Yinan Xu 已提交
77 78
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
79 80
  io.out.bits.paddr := s0_paddr
  io.out.bits.tlbMiss := io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
81 82 83
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
84
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
Y
Yinan Xu 已提交
85

86
  io.in.ready := io.out.fire()
87

Y
Yinan Xu 已提交
88
  XSDebug(io.dcacheReq.fire(), "[DCACHE LOAD REQ] pc %x vaddr %x paddr will be %x\n",
89 90
    s0_uop.cf.pc, s0_vaddr, s0_paddr
  )
Y
Yinan Xu 已提交
91 92 93 94 95 96 97 98 99 100
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class LoadUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
101
    val s1_paddr = Output(UInt(PAddrBits.W))
102
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
103
    val lsq = new LoadForwardQueryIO
Y
Yinan Xu 已提交
104
  })
105

Y
Yinan Xu 已提交
106
  val s1_uop = io.in.bits.uop
107 108
  val s1_paddr = io.in.bits.paddr
  val s1_tlb_miss = io.in.bits.tlbMiss
109
  val s1_mmio = !s1_tlb_miss && AddressSpace.isMMIO(s1_paddr) && !io.out.bits.uop.cf.exceptionVec.asUInt.orR
110
  val s1_mask = io.in.bits.mask
Y
Yinan Xu 已提交
111

112
  io.out.bits := io.in.bits // forwardXX field will be updated in s1
113 114
  io.s1_paddr :=  s1_paddr

115 116 117 118 119 120 121
  // load forward query datapath
  io.sbuffer.valid := io.in.valid
  io.sbuffer.paddr := s1_paddr
  io.sbuffer.uop := s1_uop
  io.sbuffer.sqIdx := s1_uop.sqIdx
  io.sbuffer.mask := s1_mask
  io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it
Y
Yinan Xu 已提交
122 123 124 125 126 127 128

  io.lsq.valid := io.in.valid
  io.lsq.paddr := s1_paddr
  io.lsq.uop := s1_uop
  io.lsq.sqIdx := s1_uop.sqIdx
  io.lsq.mask := s1_mask
  io.lsq.pc := s1_uop.cf.pc // FIXME: remove it
129 130 131

  io.out.bits.forwardMask := io.sbuffer.forwardMask
  io.out.bits.forwardData := io.sbuffer.forwardData
132

133
  io.out.valid := io.in.valid && !s1_tlb_miss && !s1_uop.roqIdx.needFlush(io.redirect)
Y
Yinan Xu 已提交
134 135
  io.out.bits.paddr := s1_paddr
  io.out.bits.mmio := s1_mmio
136
  io.out.bits.tlbMiss := s1_tlb_miss
Y
Yinan Xu 已提交
137

Y
Yinan Xu 已提交
138
  io.in.ready := io.out.ready || !io.in.valid
139

Y
Yinan Xu 已提交
140
}
141 142


Y
Yinan Xu 已提交
143 144 145 146 147 148 149
// Load Pipeline Stage 2
// DCache resp
class LoadUnit_S2 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
150
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
151
    val lsq = new LoadForwardQueryIO
Y
Yinan Xu 已提交
152
  })
153

Y
Yinan Xu 已提交
154 155 156
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
157
  val s2_cache_miss = io.dcacheResp.bits.miss
158
  val s2_cache_nack = io.dcacheResp.bits.nack
Y
Yinan Xu 已提交
159

160

161 162
  io.dcacheResp.ready := true.B
  assert(!(io.in.valid && !io.dcacheResp.valid), "DCache response got lost")
Y
Yinan Xu 已提交
163

164 165
  val forwardMask = io.out.bits.forwardMask
  val forwardData = io.out.bits.forwardData
Y
Yinan Xu 已提交
166
  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U
Y
Yinan Xu 已提交
167

168 169 170 171 172 173
  XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
    s2_uop.cf.pc,
    io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt,
    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt
  )

Y
Yinan Xu 已提交
174
  // data merge
Y
Yinan Xu 已提交
175
  val rdata = VecInit((0 until XLEN / 8).map(j =>
176
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))).asUInt
Y
Yinan Xu 已提交
177
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
178 179 180 181 182 183 184 185 186
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
Y
Yinan Xu 已提交
187
  val rdataPartialLoad = LookupTree(s2_uop.ctrl.fuOpType, List(
Y
Yinan Xu 已提交
188 189 190 191 192 193
      LSUOpType.lb   -> SignExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lh   -> SignExt(rdataSel(15, 0), XLEN),
      LSUOpType.lw   -> SignExt(rdataSel(31, 0), XLEN),
      LSUOpType.ld   -> SignExt(rdataSel(63, 0), XLEN),
      LSUOpType.lbu  -> ZeroExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lhu  -> ZeroExt(rdataSel(15, 0), XLEN),
L
LinJiawei 已提交
194 195
      LSUOpType.lwu  -> ZeroExt(rdataSel(31, 0), XLEN),
      LSUOpType.flw  -> boxF32ToF64(rdataSel(31, 0))
Y
Yinan Xu 已提交
196 197
  ))

Y
Yinan Xu 已提交
198
  // TODO: ECC check
Y
Yinan Xu 已提交
199

200
  io.out.valid := io.in.valid // && !s2_uop.needFlush(io.redirect) will cause comb. loop
Y
Yinan Xu 已提交
201
  // Inst will be canceled in store queue / lsq,
202
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
203 204
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
205 206
  io.out.bits.miss := (s2_cache_miss || s2_cache_nack) && !fullForward
  io.out.bits.mmio := io.in.bits.mmio
Y
Yinan Xu 已提交
207 208 209

  io.in.ready := io.out.ready || !io.in.valid

210 211 212 213 214 215 216 217 218 219
  // merge forward result
  io.lsq := DontCare
  // generate XLEN/8 Muxs
  for (i <- 0 until XLEN / 8) {
    when(io.lsq.forwardMask(i)) {
      io.out.bits.forwardMask(i) := true.B
      io.out.bits.forwardData(i) := io.lsq.forwardData(i)
    }
  }

Y
Yinan Xu 已提交
220
  XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n",
221
    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
222
    io.out.bits.forwardData.asUInt, io.out.bits.forwardMask.asUInt
Y
Yinan Xu 已提交
223 224
  )

Y
Yinan Xu 已提交
225
}
Y
Yinan Xu 已提交
226

227 228 229 230 231 232 233 234 235 236 237
// class LoadUnit_S3 extends XSModule {
//   val io = IO(new Bundle() {
//     val in = Flipped(Decoupled(new LsPipelineBundle))
//     val out = Decoupled(new LsPipelineBundle)
//     val redirect = Flipped(ValidIO(new Redirect))
//   })

//   io.in.ready := true.B
//   io.out.bits := io.in.bits
//   io.out.valid := io.in.valid && !io.out.bits.uop.roqIdx.needFlush(io.redirect)
// }
Y
Yinan Xu 已提交
238 239 240 241 242 243 244

class LoadUnit extends XSModule {
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
    val redirect = Flipped(ValidIO(new Redirect))
    val tlbFeedback = ValidIO(new TlbFeedback)
245
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
246 247
    val dtlb = new TlbRequestIO()
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
248
    val lsq = new LoadToLsqIO
Y
Yinan Xu 已提交
249 250 251 252 253
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)
254
  // val load_s3 = Module(new LoadUnit_S3)
Y
Yinan Xu 已提交
255 256 257

  load_s0.io.in <> io.ldin
  load_s0.io.redirect <> io.redirect
258
  load_s0.io.dtlbReq <> io.dtlb.req
259
  load_s0.io.dtlbResp <> io.dtlb.resp
260
  load_s0.io.dcacheReq <> io.dcache.req
261
  load_s0.io.tlbFeedback <> io.tlbFeedback
Y
Yinan Xu 已提交
262

263
  PipelineConnect(load_s0.io.out, load_s1.io.in, true.B, false.B)
Y
Yinan Xu 已提交
264

265
  io.dcache.s1_paddr := load_s1.io.out.bits.paddr
Y
Yinan Xu 已提交
266
  load_s1.io.redirect <> io.redirect
267
  io.dcache.s1_kill := DontCare // FIXME
268
  io.sbuffer <> load_s1.io.sbuffer
Y
Yinan Xu 已提交
269
  io.lsq.forward <> load_s1.io.lsq
Y
Yinan Xu 已提交
270

271
  PipelineConnect(load_s1.io.out, load_s2.io.in, true.B, false.B)
Y
Yinan Xu 已提交
272 273

  load_s2.io.redirect <> io.redirect
274
  load_s2.io.dcacheResp <> io.dcache.resp
275 276 277
  load_s2.io.lsq := DontCare 
  load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData 
  load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask 
Y
Yinan Xu 已提交
278

279 280 281
  // PipelineConnect(load_s2.io.fp_out, load_s3.io.in, true.B, false.B)
  // load_s3.io.redirect <> io.redirect

Y
Yinan Xu 已提交
282
  XSDebug(load_s0.io.out.valid,
283
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
Y
Yinan Xu 已提交
284
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
Y
Yinan Xu 已提交
285 286
  XSDebug(load_s1.io.out.valid,
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.dtlb.resp.bits.miss}, " +
287
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
288

Y
Yinan Xu 已提交
289
  // writeback to LSQ
Y
Yinan Xu 已提交
290
  // Current dcache use MSHR
Y
Yinan Xu 已提交
291 292
  io.lsq.loadIn.valid := load_s2.io.out.valid
  io.lsq.loadIn.bits := load_s2.io.out.bits
Y
Yinan Xu 已提交
293

Y
Yinan Xu 已提交
294
  val hitLoadOut = Wire(Valid(new ExuOutput))
295
  hitLoadOut.valid := load_s2.io.out.valid && (!load_s2.io.out.bits.miss || load_s2.io.out.bits.uop.cf.exceptionVec.asUInt.orR)
Y
Yinan Xu 已提交
296 297 298 299 300 301
  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
  hitLoadOut.bits.data := load_s2.io.out.bits.data
  hitLoadOut.bits.redirectValid := false.B
  hitLoadOut.bits.redirect := DontCare
  hitLoadOut.bits.brUpdate := DontCare
  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
302
  hitLoadOut.bits.fflags := DontCare
Y
Yinan Xu 已提交
303

Y
Yinan Xu 已提交
304 305 306 307 308 309 310
  // TODO: arbiter
  // if hit, writeback result to CDB
  // val ldout = Vec(2, Decoupled(new ExuOutput))
  // when io.loadIn(i).fire() && !io.io.loadIn(i).miss, commit load to cdb
  // val cdbArb = Module(new Arbiter(new ExuOutput, 2))
  // io.ldout <> cdbArb.io.out
  // hitLoadOut <> cdbArb.io.in(0)
Y
Yinan Xu 已提交
311
  // io.lsq.ldout <> cdbArb.io.in(1) // missLoadOut
Y
Yinan Xu 已提交
312
  load_s2.io.out.ready := true.B
Y
Yinan Xu 已提交
313 314 315
  io.lsq.ldout.ready := !hitLoadOut.valid
  io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.ldout.bits)
  io.ldout.valid := hitLoadOut.valid || io.lsq.ldout.valid
316

317 318
  when(io.ldout.fire()){
    XSDebug("ldout %x iw %x fw %x\n", io.ldout.bits.uop.cf.pc, io.ldout.bits.uop.ctrl.rfWen, io.ldout.bits.uop.ctrl.fpWen)
319
  }
Z
ZhangZifei 已提交
320
}