LoadUnit.scala 10.6 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7 8
import xiangshan.cache._
// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp}
9
import xiangshan.backend.LSUOpType
L
LinJiawei 已提交
10
import xiangshan.backend.fu.fpu.boxF32ToF64
Y
Yinan Xu 已提交
11 12 13 14 15 16 17

class LoadToLsroqIO extends XSBundle {
  val loadIn = ValidIO(new LsPipelineBundle)
  val ldout = Flipped(DecoupledIO(new ExuOutput))
  val forward = new LoadForwardQueryIO
}

Y
Yinan Xu 已提交
18 19 20
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
class LoadUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
21
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
22 23
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
Y
Yinan Xu 已提交
24
    val redirect = Flipped(ValidIO(new Redirect))
25 26
    val dtlbReq = DecoupledIO(new TlbReq)
    val dtlbResp = Flipped(DecoupledIO(new TlbResp))
27
    val tlbFeedback = ValidIO(new TlbFeedback)
28
    val dcacheReq = DecoupledIO(new DCacheLoadReq)
Y
Yinan Xu 已提交
29 30
  })

Y
Yinan Xu 已提交
31 32
  val s0_uop = io.in.bits.uop
  val s0_vaddr = io.in.bits.src1 + s0_uop.ctrl.imm
33 34
  val s0_paddr = io.dtlbResp.bits.paddr
  val s0_tlb_miss = io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
35 36 37
  val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0))

  // query DTLB
38 39 40 41 42 43
  io.dtlbReq.valid := io.out.valid
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
  io.dtlbReq.bits.roqIdx := s0_uop.roqIdx
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
  io.dtlbReq.bits.debug.lsroqIdx := s0_uop.lsroqIdx
44 45 46
  io.dtlbResp.ready := io.out.ready
  // FIXME: tlb change to DecoupledIO, need to fix tlb's usage

47 48 49 50 51
  // feedback tlb result to RS
  // Note: can be moved to s1
  io.tlbFeedback.valid := io.out.valid
  io.tlbFeedback.bits.hit := !s0_tlb_miss
  io.tlbFeedback.bits.roqIdx := s0_uop.roqIdx
Y
Yinan Xu 已提交
52 53

  // query DCache
54
  io.dcacheReq.valid := io.in.valid && !s0_uop.roqIdx.needFlush(io.redirect)
55 56 57
  io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
58 59 60 61 62 63 64 65 66 67 68
  io.dcacheReq.bits.data := DontCare

  // TODO: update cache meta
  io.dcacheReq.bits.meta.id       := DontCare
  io.dcacheReq.bits.meta.vaddr    := s0_vaddr
  io.dcacheReq.bits.meta.paddr    := DontCare
  io.dcacheReq.bits.meta.uop      := s0_uop
  io.dcacheReq.bits.meta.mmio     := false.B
  io.dcacheReq.bits.meta.tlb_miss := false.B
  io.dcacheReq.bits.meta.mask     := s0_mask
  io.dcacheReq.bits.meta.replay   := false.B
Y
Yinan Xu 已提交
69 70 71 72 73 74

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
75
  ))
Y
Yinan Xu 已提交
76

77
  io.out.valid := io.dcacheReq.fire() // dcache may not accept load request
Y
Yinan Xu 已提交
78 79
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
80 81
  io.out.bits.paddr := s0_paddr
  io.out.bits.tlbMiss := io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
82 83 84
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
85
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
Y
Yinan Xu 已提交
86

87
  io.in.ready := io.out.fire()
88 89 90 91

  XSDebug(io.dcacheReq.fire(), "[DCACHE LOAD REQ] pc %x vaddr %x paddr will be %x\n", 
    s0_uop.cf.pc, s0_vaddr, s0_paddr
  )
Y
Yinan Xu 已提交
92 93 94 95 96 97 98 99 100 101
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class LoadUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
102
    val s1_paddr = Output(UInt(PAddrBits.W))
103 104
    val sbuffer = new LoadForwardQueryIO
    val lsroq = new LoadForwardQueryIO
Y
Yinan Xu 已提交
105
  })
106

Y
Yinan Xu 已提交
107
  val s1_uop = io.in.bits.uop
108 109
  val s1_paddr = io.in.bits.paddr
  val s1_tlb_miss = io.in.bits.tlbMiss
Y
Yinan Xu 已提交
110
  val s1_mmio = !s1_tlb_miss && AddressSpace.isMMIO(s1_paddr)
111
  val s1_mask = io.in.bits.mask
112
  
113
  io.out.bits := io.in.bits // forwardXX field will be updated in s1
114 115
  io.s1_paddr :=  s1_paddr

116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141
  // load forward query datapath
  io.sbuffer.valid := io.in.valid
  io.sbuffer.paddr := s1_paddr
  io.sbuffer.uop := s1_uop
  io.sbuffer.sqIdx := s1_uop.sqIdx
  io.sbuffer.lsroqIdx := s1_uop.lsroqIdx
  io.sbuffer.mask := s1_mask
  io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it
  
  io.lsroq.valid := io.in.valid
  io.lsroq.paddr := s1_paddr
  io.lsroq.uop := s1_uop
  io.lsroq.sqIdx := s1_uop.sqIdx
  io.lsroq.lsroqIdx := s1_uop.lsroqIdx
  io.lsroq.mask := s1_mask
  io.lsroq.pc := s1_uop.cf.pc // FIXME: remove it

  io.out.bits.forwardMask := io.sbuffer.forwardMask
  io.out.bits.forwardData := io.sbuffer.forwardData
  // generate XLEN/8 Muxs
  for (i <- 0 until XLEN / 8) {
    when(io.lsroq.forwardMask(i)) {
      io.out.bits.forwardMask(i) := true.B
      io.out.bits.forwardData(i) := io.lsroq.forwardData(i)
    }
  }
Y
Yinan Xu 已提交
142

143 144 145 146 147
  XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n", 
    s1_uop.cf.pc,
    io.lsroq.forwardData.asUInt, io.lsroq.forwardMask.asUInt, 
    io.sbuffer.forwardData.asUInt, io.sbuffer.forwardMask.asUInt
  )
Y
Yinan Xu 已提交
148

Z
ZhangZifei 已提交
149
  io.out.valid := io.in.valid && !s1_tlb_miss &&  !s1_uop.roqIdx.needFlush(io.redirect)
Y
Yinan Xu 已提交
150 151
  io.out.bits.paddr := s1_paddr
  io.out.bits.mmio := s1_mmio
152
  io.out.bits.tlbMiss := s1_tlb_miss
Y
Yinan Xu 已提交
153 154

  io.in.ready := io.out.ready || !io.in.valid
Y
Yinan Xu 已提交
155

Y
Yinan Xu 已提交
156
}
157 158


Y
Yinan Xu 已提交
159 160 161 162 163 164 165
// Load Pipeline Stage 2
// DCache resp
class LoadUnit_S2 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
166
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
Y
Yinan Xu 已提交
167
  })
168

Y
Yinan Xu 已提交
169 170 171
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
172
  val s2_cache_miss = io.dcacheResp.bits.miss
173
  val s2_cache_nack = io.dcacheResp.bits.nack
174

175

176 177
  io.dcacheResp.ready := true.B
  assert(!(io.in.valid && !io.dcacheResp.valid), "DCache response got lost")
Y
Yinan Xu 已提交
178

179 180
  val forwardMask = io.in.bits.forwardMask
  val forwardData = io.in.bits.forwardData
Y
Yinan Xu 已提交
181
  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U
Y
Yinan Xu 已提交
182 183

  // data merge
Y
Yinan Xu 已提交
184
  val rdata = VecInit((0 until XLEN / 8).map(j => 
185
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))).asUInt
Y
Yinan Xu 已提交
186
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
187 188 189 190 191 192 193 194 195
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
Y
Yinan Xu 已提交
196
  val rdataPartialLoad = LookupTree(s2_uop.ctrl.fuOpType, List(
Y
Yinan Xu 已提交
197 198 199 200 201 202
      LSUOpType.lb   -> SignExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lh   -> SignExt(rdataSel(15, 0), XLEN),
      LSUOpType.lw   -> SignExt(rdataSel(31, 0), XLEN),
      LSUOpType.ld   -> SignExt(rdataSel(63, 0), XLEN),
      LSUOpType.lbu  -> ZeroExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lhu  -> ZeroExt(rdataSel(15, 0), XLEN),
L
LinJiawei 已提交
203 204
      LSUOpType.lwu  -> ZeroExt(rdataSel(31, 0), XLEN),
      LSUOpType.flw  -> boxF32ToF64(rdataSel(31, 0))
Y
Yinan Xu 已提交
205 206
  ))

Y
Yinan Xu 已提交
207
  // TODO: ECC check
Y
Yinan Xu 已提交
208

209 210 211
  io.out.valid := io.in.valid // && !s2_uop.needFlush(io.redirect) will cause comb. loop
  // Inst will be canceled in store queue / lsroq, 
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
212 213
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
214 215
  io.out.bits.miss := (s2_cache_miss || s2_cache_nack) && !fullForward
  io.out.bits.mmio := io.in.bits.mmio
Y
Yinan Xu 已提交
216 217 218

  io.in.ready := io.out.ready || !io.in.valid

219
  XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n", 
220
    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
221
    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt 
222 223
  )

Y
Yinan Xu 已提交
224
}
Y
Yinan Xu 已提交
225

Y
Yinan Xu 已提交
226 227 228 229 230 231 232

class LoadUnit extends XSModule {
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
    val redirect = Flipped(ValidIO(new Redirect))
    val tlbFeedback = ValidIO(new TlbFeedback)
233
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
234 235 236 237 238 239 240 241 242 243 244
    val dtlb = new TlbRequestIO()
    val sbuffer = new LoadForwardQueryIO
    val lsroq = new LoadToLsroqIO
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)

  load_s0.io.in <> io.ldin
  load_s0.io.redirect <> io.redirect
245
  load_s0.io.dtlbReq <> io.dtlb.req
246
  load_s0.io.dtlbResp <> io.dtlb.resp
247
  load_s0.io.dcacheReq <> io.dcache.req
248
  load_s0.io.tlbFeedback <> io.tlbFeedback
Y
Yinan Xu 已提交
249

250
  PipelineConnect(load_s0.io.out, load_s1.io.in, load_s1.io.out.fire() || load_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect), false.B)
Y
Yinan Xu 已提交
251

252
  io.dcache.s1_paddr := load_s1.io.out.bits.paddr
Y
Yinan Xu 已提交
253
  load_s1.io.redirect <> io.redirect
254
  io.dcache.s1_kill := DontCare // FIXME
255 256
  io.sbuffer <> load_s1.io.sbuffer
  io.lsroq.forward <> load_s1.io.lsroq
Y
Yinan Xu 已提交
257

258
  PipelineConnect(load_s1.io.out, load_s2.io.in, load_s2.io.out.fire() || load_s1.io.out.bits.tlbMiss, false.B)
Y
Yinan Xu 已提交
259 260

  load_s2.io.redirect <> io.redirect
261
  load_s2.io.dcacheResp <> io.dcache.resp
Y
Yinan Xu 已提交
262 263

  XSDebug(load_s0.io.out.valid,
264
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
Y
Yinan Xu 已提交
265 266
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
  XSDebug(load_s1.io.out.valid, 
267
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.dtlb.resp.bits.miss}, " + 
268
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
269 270 271

  // writeback to LSROQ
  // Current dcache use MSHR
Y
Yinan Xu 已提交
272 273
  io.lsroq.loadIn.valid := load_s2.io.out.valid
  io.lsroq.loadIn.bits := load_s2.io.out.bits
Y
Yinan Xu 已提交
274

Y
Yinan Xu 已提交
275 276 277 278 279 280 281 282
  val hitLoadOut = Wire(Valid(new ExuOutput))
  hitLoadOut.valid := load_s2.io.out.valid && !load_s2.io.out.bits.miss
  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
  hitLoadOut.bits.data := load_s2.io.out.bits.data
  hitLoadOut.bits.redirectValid := false.B
  hitLoadOut.bits.redirect := DontCare
  hitLoadOut.bits.brUpdate := DontCare
  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
283
  hitLoadOut.bits.fflags := DontCare
Y
Yinan Xu 已提交
284

Y
Yinan Xu 已提交
285 286 287 288 289 290 291 292 293 294
  // TODO: arbiter
  // if hit, writeback result to CDB
  // val ldout = Vec(2, Decoupled(new ExuOutput))
  // when io.loadIn(i).fire() && !io.io.loadIn(i).miss, commit load to cdb
  // val cdbArb = Module(new Arbiter(new ExuOutput, 2))
  // io.ldout <> cdbArb.io.out
  // hitLoadOut <> cdbArb.io.in(0)
  // io.lsroq.ldout <> cdbArb.io.in(1) // missLoadOut
  load_s2.io.out.ready := true.B
  io.lsroq.ldout.ready := !hitLoadOut.valid
295
  io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsroq.ldout.bits)
296
  io.ldout.valid := hitLoadOut.valid || io.lsroq.ldout.valid
297

298 299
  when(io.ldout.fire()){
    XSDebug("ldout %x iw %x fw %x\n", io.ldout.bits.uop.cf.pc, io.ldout.bits.uop.ctrl.rfWen, io.ldout.bits.uop.ctrl.fpWen)
300
  }
Y
Yinan Xu 已提交
301
}