LoadUnit.scala 10.3 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7 8
import xiangshan.cache._
// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp}
9
import xiangshan.backend.LSUOpType
L
LinJiawei 已提交
10
import xiangshan.backend.fu.fpu.boxF32ToF64
Y
Yinan Xu 已提交
11

Y
Yinan Xu 已提交
12
class LoadToLsqIO extends XSBundle {
Y
Yinan Xu 已提交
13 14 15 16 17
  val loadIn = ValidIO(new LsPipelineBundle)
  val ldout = Flipped(DecoupledIO(new ExuOutput))
  val forward = new LoadForwardQueryIO
}

Y
Yinan Xu 已提交
18 19 20
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
class LoadUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
21
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
22 23
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
Y
Yinan Xu 已提交
24
    val redirect = Flipped(ValidIO(new Redirect))
25
    val dtlbReq = Valid(new TlbReq)
26
    val dtlbResp = Flipped(Valid(new TlbResp))
Y
Yinan Xu 已提交
27
    val tlbFeedback = ValidIO(new TlbFeedback)
28
    val dcacheReq = DecoupledIO(new DCacheLoadReq)
Y
Yinan Xu 已提交
29 30
  })

Y
Yinan Xu 已提交
31 32
  val s0_uop = io.in.bits.uop
  val s0_vaddr = io.in.bits.src1 + s0_uop.ctrl.imm
33 34
  val s0_paddr = io.dtlbResp.bits.paddr
  val s0_tlb_miss = io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
35 36 37
  val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0))

  // query DTLB
38 39 40 41 42
  io.dtlbReq.valid := io.out.valid
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
  io.dtlbReq.bits.roqIdx := s0_uop.roqIdx
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
Y
Yinan Xu 已提交
43

44 45 46 47 48
  // feedback tlb result to RS
  // Note: can be moved to s1
  io.tlbFeedback.valid := io.out.valid
  io.tlbFeedback.bits.hit := !s0_tlb_miss
  io.tlbFeedback.bits.roqIdx := s0_uop.roqIdx
Y
Yinan Xu 已提交
49 50

  // query DCache
51
  io.dcacheReq.valid := io.in.valid && !s0_uop.roqIdx.needFlush(io.redirect)
52 53 54
  io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
55 56 57 58 59 60 61 62 63 64 65
  io.dcacheReq.bits.data := DontCare

  // TODO: update cache meta
  io.dcacheReq.bits.meta.id       := DontCare
  io.dcacheReq.bits.meta.vaddr    := s0_vaddr
  io.dcacheReq.bits.meta.paddr    := DontCare
  io.dcacheReq.bits.meta.uop      := s0_uop
  io.dcacheReq.bits.meta.mmio     := false.B
  io.dcacheReq.bits.meta.tlb_miss := false.B
  io.dcacheReq.bits.meta.mask     := s0_mask
  io.dcacheReq.bits.meta.replay   := false.B
Y
Yinan Xu 已提交
66 67 68 69 70 71

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
72
  ))
Y
Yinan Xu 已提交
73

74 75
  io.out.valid := io.dcacheReq.fire() && // dcache may not accept load request
    !io.in.bits.uop.roqIdx.needFlush(io.redirect)
Y
Yinan Xu 已提交
76 77
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
78 79
  io.out.bits.paddr := s0_paddr
  io.out.bits.tlbMiss := io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
80 81 82
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
83
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
Y
Yinan Xu 已提交
84

85
  io.in.ready := io.out.fire()
86

Y
Yinan Xu 已提交
87
  XSDebug(io.dcacheReq.fire(), "[DCACHE LOAD REQ] pc %x vaddr %x paddr will be %x\n",
88 89
    s0_uop.cf.pc, s0_vaddr, s0_paddr
  )
Y
Yinan Xu 已提交
90 91 92 93 94 95 96 97 98 99
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class LoadUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
100
    val s1_paddr = Output(UInt(PAddrBits.W))
101
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
102
    val lsq = new LoadForwardQueryIO
Y
Yinan Xu 已提交
103
  })
104

Y
Yinan Xu 已提交
105
  val s1_uop = io.in.bits.uop
106 107
  val s1_paddr = io.in.bits.paddr
  val s1_tlb_miss = io.in.bits.tlbMiss
108
  val s1_mmio = !s1_tlb_miss && AddressSpace.isMMIO(s1_paddr) && !io.out.bits.uop.cf.exceptionVec.asUInt.orR
109
  val s1_mask = io.in.bits.mask
Y
Yinan Xu 已提交
110

111
  io.out.bits := io.in.bits // forwardXX field will be updated in s1
112 113
  io.s1_paddr :=  s1_paddr

114 115 116 117 118 119 120
  // load forward query datapath
  io.sbuffer.valid := io.in.valid
  io.sbuffer.paddr := s1_paddr
  io.sbuffer.uop := s1_uop
  io.sbuffer.sqIdx := s1_uop.sqIdx
  io.sbuffer.mask := s1_mask
  io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it
Y
Yinan Xu 已提交
121 122 123 124 125 126 127

  io.lsq.valid := io.in.valid
  io.lsq.paddr := s1_paddr
  io.lsq.uop := s1_uop
  io.lsq.sqIdx := s1_uop.sqIdx
  io.lsq.mask := s1_mask
  io.lsq.pc := s1_uop.cf.pc // FIXME: remove it
128 129 130

  io.out.bits.forwardMask := io.sbuffer.forwardMask
  io.out.bits.forwardData := io.sbuffer.forwardData
Y
Yinan Xu 已提交
131
  // generate XLEN/8 Muxs
132
  for (i <- 0 until XLEN / 8) {
Y
Yinan Xu 已提交
133
    when(io.lsq.forwardMask(i)) {
134
      io.out.bits.forwardMask(i) := true.B
Y
Yinan Xu 已提交
135
      io.out.bits.forwardData(i) := io.lsq.forwardData(i)
Y
Yinan Xu 已提交
136
    }
137
  }
Y
Yinan Xu 已提交
138

Y
Yinan Xu 已提交
139
  XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
140
    s1_uop.cf.pc,
Y
Yinan Xu 已提交
141
    io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt,
142 143
    io.sbuffer.forwardData.asUInt, io.sbuffer.forwardMask.asUInt
  )
144

145
  io.out.valid := io.in.valid && !s1_tlb_miss && !s1_uop.roqIdx.needFlush(io.redirect)
Y
Yinan Xu 已提交
146 147
  io.out.bits.paddr := s1_paddr
  io.out.bits.mmio := s1_mmio
148
  io.out.bits.tlbMiss := s1_tlb_miss
Y
Yinan Xu 已提交
149

Y
Yinan Xu 已提交
150
  io.in.ready := io.out.ready || !io.in.valid
151

Y
Yinan Xu 已提交
152
}
153 154


Y
Yinan Xu 已提交
155 156 157 158 159 160 161
// Load Pipeline Stage 2
// DCache resp
class LoadUnit_S2 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
162
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
Y
Yinan Xu 已提交
163
  })
164

Y
Yinan Xu 已提交
165 166 167
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
168
  val s2_cache_miss = io.dcacheResp.bits.miss
169
  val s2_cache_nack = io.dcacheResp.bits.nack
Y
Yinan Xu 已提交
170

171

172 173
  io.dcacheResp.ready := true.B
  assert(!(io.in.valid && !io.dcacheResp.valid), "DCache response got lost")
Y
Yinan Xu 已提交
174

175 176
  val forwardMask = io.in.bits.forwardMask
  val forwardData = io.in.bits.forwardData
Y
Yinan Xu 已提交
177
  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U
Y
Yinan Xu 已提交
178 179

  // data merge
Y
Yinan Xu 已提交
180
  val rdata = VecInit((0 until XLEN / 8).map(j =>
181
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))).asUInt
Y
Yinan Xu 已提交
182
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
183 184 185 186 187 188 189 190 191
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
Y
Yinan Xu 已提交
192
  val rdataPartialLoad = LookupTree(s2_uop.ctrl.fuOpType, List(
Y
Yinan Xu 已提交
193 194 195 196 197 198
      LSUOpType.lb   -> SignExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lh   -> SignExt(rdataSel(15, 0), XLEN),
      LSUOpType.lw   -> SignExt(rdataSel(31, 0), XLEN),
      LSUOpType.ld   -> SignExt(rdataSel(63, 0), XLEN),
      LSUOpType.lbu  -> ZeroExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lhu  -> ZeroExt(rdataSel(15, 0), XLEN),
L
LinJiawei 已提交
199 200
      LSUOpType.lwu  -> ZeroExt(rdataSel(31, 0), XLEN),
      LSUOpType.flw  -> boxF32ToF64(rdataSel(31, 0))
Y
Yinan Xu 已提交
201 202
  ))

Y
Yinan Xu 已提交
203
  // TODO: ECC check
Y
Yinan Xu 已提交
204

205
  io.out.valid := io.in.valid // && !s2_uop.needFlush(io.redirect) will cause comb. loop
Y
Yinan Xu 已提交
206
  // Inst will be canceled in store queue / lsq,
207
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
208 209
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
210 211
  io.out.bits.miss := (s2_cache_miss || s2_cache_nack) && !fullForward
  io.out.bits.mmio := io.in.bits.mmio
Y
Yinan Xu 已提交
212 213 214

  io.in.ready := io.out.ready || !io.in.valid

Y
Yinan Xu 已提交
215
  XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n",
216
    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
Y
Yinan Xu 已提交
217
    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt
Y
Yinan Xu 已提交
218 219
  )

Y
Yinan Xu 已提交
220
}
Y
Yinan Xu 已提交
221

Y
Yinan Xu 已提交
222 223 224 225 226 227 228

class LoadUnit extends XSModule {
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
    val redirect = Flipped(ValidIO(new Redirect))
    val tlbFeedback = ValidIO(new TlbFeedback)
229
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
230 231
    val dtlb = new TlbRequestIO()
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
232
    val lsq = new LoadToLsqIO
Y
Yinan Xu 已提交
233 234 235 236 237 238 239 240
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)

  load_s0.io.in <> io.ldin
  load_s0.io.redirect <> io.redirect
241
  load_s0.io.dtlbReq <> io.dtlb.req
242
  load_s0.io.dtlbResp <> io.dtlb.resp
243
  load_s0.io.dcacheReq <> io.dcache.req
244
  load_s0.io.tlbFeedback <> io.tlbFeedback
Y
Yinan Xu 已提交
245

246
  PipelineConnect(load_s0.io.out, load_s1.io.in, true.B, false.B)
Y
Yinan Xu 已提交
247

248
  io.dcache.s1_paddr := load_s1.io.out.bits.paddr
Y
Yinan Xu 已提交
249
  load_s1.io.redirect <> io.redirect
250
  io.dcache.s1_kill := DontCare // FIXME
251
  io.sbuffer <> load_s1.io.sbuffer
Y
Yinan Xu 已提交
252
  io.lsq.forward <> load_s1.io.lsq
Y
Yinan Xu 已提交
253

254
  PipelineConnect(load_s1.io.out, load_s2.io.in, true.B, false.B)
Y
Yinan Xu 已提交
255 256

  load_s2.io.redirect <> io.redirect
257
  load_s2.io.dcacheResp <> io.dcache.resp
Y
Yinan Xu 已提交
258 259

  XSDebug(load_s0.io.out.valid,
260
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
Y
Yinan Xu 已提交
261
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
Y
Yinan Xu 已提交
262 263
  XSDebug(load_s1.io.out.valid,
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.dtlb.resp.bits.miss}, " +
264
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
265

Y
Yinan Xu 已提交
266
  // writeback to LSQ
Y
Yinan Xu 已提交
267
  // Current dcache use MSHR
Y
Yinan Xu 已提交
268 269
  io.lsq.loadIn.valid := load_s2.io.out.valid
  io.lsq.loadIn.bits := load_s2.io.out.bits
Y
Yinan Xu 已提交
270

Y
Yinan Xu 已提交
271
  val hitLoadOut = Wire(Valid(new ExuOutput))
272
  hitLoadOut.valid := load_s2.io.out.valid && (!load_s2.io.out.bits.miss || load_s2.io.out.bits.uop.cf.exceptionVec.asUInt.orR)
Y
Yinan Xu 已提交
273 274 275 276 277 278
  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
  hitLoadOut.bits.data := load_s2.io.out.bits.data
  hitLoadOut.bits.redirectValid := false.B
  hitLoadOut.bits.redirect := DontCare
  hitLoadOut.bits.brUpdate := DontCare
  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
279
  hitLoadOut.bits.fflags := DontCare
Y
Yinan Xu 已提交
280

Y
Yinan Xu 已提交
281 282 283 284 285 286 287
  // TODO: arbiter
  // if hit, writeback result to CDB
  // val ldout = Vec(2, Decoupled(new ExuOutput))
  // when io.loadIn(i).fire() && !io.io.loadIn(i).miss, commit load to cdb
  // val cdbArb = Module(new Arbiter(new ExuOutput, 2))
  // io.ldout <> cdbArb.io.out
  // hitLoadOut <> cdbArb.io.in(0)
Y
Yinan Xu 已提交
288
  // io.lsq.ldout <> cdbArb.io.in(1) // missLoadOut
Y
Yinan Xu 已提交
289
  load_s2.io.out.ready := true.B
Y
Yinan Xu 已提交
290 291 292
  io.lsq.ldout.ready := !hitLoadOut.valid
  io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.ldout.bits)
  io.ldout.valid := hitLoadOut.valid || io.lsq.ldout.valid
293

294 295
  when(io.ldout.fire()){
    XSDebug("ldout %x iw %x fw %x\n", io.ldout.bits.uop.cf.pc, io.ldout.bits.uop.ctrl.rfWen, io.ldout.bits.uop.ctrl.fpWen)
296
  }
Y
Yinan Xu 已提交
297
}