LoadUnit.scala 10.3 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7 8
import xiangshan.cache._
// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp}
9
import xiangshan.backend.LSUOpType
L
LinJiawei 已提交
10
import xiangshan.backend.fu.fpu.boxF32ToF64
Y
Yinan Xu 已提交
11

Y
Yinan Xu 已提交
12
class LoadToLsqIO extends XSBundle {
Y
Yinan Xu 已提交
13 14 15 16 17
  val loadIn = ValidIO(new LsPipelineBundle)
  val ldout = Flipped(DecoupledIO(new ExuOutput))
  val forward = new LoadForwardQueryIO
}

Y
Yinan Xu 已提交
18 19 20
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
class LoadUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
21
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
22 23
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
Y
Yinan Xu 已提交
24
    val redirect = Flipped(ValidIO(new Redirect))
25
    val dtlbReq = Valid(new TlbReq)
26
    val dtlbResp = Flipped(Valid(new TlbResp))
Y
Yinan Xu 已提交
27
    val tlbFeedback = ValidIO(new TlbFeedback)
28
    val dcacheReq = DecoupledIO(new DCacheLoadReq)
Y
Yinan Xu 已提交
29 30
  })

Y
Yinan Xu 已提交
31 32
  val s0_uop = io.in.bits.uop
  val s0_vaddr = io.in.bits.src1 + s0_uop.ctrl.imm
33 34
  val s0_paddr = io.dtlbResp.bits.paddr
  val s0_tlb_miss = io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
35 36 37
  val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0))

  // query DTLB
38 39 40 41 42
  io.dtlbReq.valid := io.out.valid
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
  io.dtlbReq.bits.roqIdx := s0_uop.roqIdx
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
Y
Yinan Xu 已提交
43

44 45 46 47 48
  // feedback tlb result to RS
  // Note: can be moved to s1
  io.tlbFeedback.valid := io.out.valid
  io.tlbFeedback.bits.hit := !s0_tlb_miss
  io.tlbFeedback.bits.roqIdx := s0_uop.roqIdx
Y
Yinan Xu 已提交
49 50

  // query DCache
51
  io.dcacheReq.valid := io.in.valid && !s0_uop.roqIdx.needFlush(io.redirect)
52 53 54
  io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
55 56 57 58 59 60 61 62 63 64 65
  io.dcacheReq.bits.data := DontCare

  // TODO: update cache meta
  io.dcacheReq.bits.meta.id       := DontCare
  io.dcacheReq.bits.meta.vaddr    := s0_vaddr
  io.dcacheReq.bits.meta.paddr    := DontCare
  io.dcacheReq.bits.meta.uop      := s0_uop
  io.dcacheReq.bits.meta.mmio     := false.B
  io.dcacheReq.bits.meta.tlb_miss := false.B
  io.dcacheReq.bits.meta.mask     := s0_mask
  io.dcacheReq.bits.meta.replay   := false.B
Y
Yinan Xu 已提交
66 67 68 69 70 71

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
72
  ))
Y
Yinan Xu 已提交
73

74
  io.out.valid := io.dcacheReq.fire() // dcache may not accept load request
Y
Yinan Xu 已提交
75 76
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
77 78
  io.out.bits.paddr := s0_paddr
  io.out.bits.tlbMiss := io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
79 80 81
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
82
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
Y
Yinan Xu 已提交
83

84
  io.in.ready := io.out.fire()
85

Y
Yinan Xu 已提交
86
  XSDebug(io.dcacheReq.fire(), "[DCACHE LOAD REQ] pc %x vaddr %x paddr will be %x\n",
87 88
    s0_uop.cf.pc, s0_vaddr, s0_paddr
  )
Y
Yinan Xu 已提交
89 90 91 92 93 94 95 96 97 98
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class LoadUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
99
    val s1_paddr = Output(UInt(PAddrBits.W))
100
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
101
    val lsq = new LoadForwardQueryIO
Y
Yinan Xu 已提交
102
  })
103

Y
Yinan Xu 已提交
104
  val s1_uop = io.in.bits.uop
105 106
  val s1_paddr = io.in.bits.paddr
  val s1_tlb_miss = io.in.bits.tlbMiss
Y
Yinan Xu 已提交
107
  val s1_mmio = !s1_tlb_miss && AddressSpace.isMMIO(s1_paddr)
108
  val s1_mask = io.in.bits.mask
Y
Yinan Xu 已提交
109

110
  io.out.bits := io.in.bits // forwardXX field will be updated in s1
111 112
  io.s1_paddr :=  s1_paddr

113 114 115 116 117 118 119
  // load forward query datapath
  io.sbuffer.valid := io.in.valid
  io.sbuffer.paddr := s1_paddr
  io.sbuffer.uop := s1_uop
  io.sbuffer.sqIdx := s1_uop.sqIdx
  io.sbuffer.mask := s1_mask
  io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it
Y
Yinan Xu 已提交
120 121 122 123 124 125 126

  io.lsq.valid := io.in.valid
  io.lsq.paddr := s1_paddr
  io.lsq.uop := s1_uop
  io.lsq.sqIdx := s1_uop.sqIdx
  io.lsq.mask := s1_mask
  io.lsq.pc := s1_uop.cf.pc // FIXME: remove it
127 128 129

  io.out.bits.forwardMask := io.sbuffer.forwardMask
  io.out.bits.forwardData := io.sbuffer.forwardData
Y
Yinan Xu 已提交
130
  // generate XLEN/8 Muxs
131
  for (i <- 0 until XLEN / 8) {
Y
Yinan Xu 已提交
132
    when(io.lsq.forwardMask(i)) {
133
      io.out.bits.forwardMask(i) := true.B
Y
Yinan Xu 已提交
134
      io.out.bits.forwardData(i) := io.lsq.forwardData(i)
Y
Yinan Xu 已提交
135
    }
136
  }
Y
Yinan Xu 已提交
137

Y
Yinan Xu 已提交
138
  XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
139
    s1_uop.cf.pc,
Y
Yinan Xu 已提交
140
    io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt,
141 142
    io.sbuffer.forwardData.asUInt, io.sbuffer.forwardMask.asUInt
  )
143

Z
ZhangZifei 已提交
144
  io.out.valid := io.in.valid && !s1_tlb_miss &&  !s1_uop.roqIdx.needFlush(io.redirect)
Y
Yinan Xu 已提交
145 146
  io.out.bits.paddr := s1_paddr
  io.out.bits.mmio := s1_mmio
147
  io.out.bits.tlbMiss := s1_tlb_miss
Y
Yinan Xu 已提交
148

Y
Yinan Xu 已提交
149
  io.in.ready := io.out.ready || !io.in.valid
150

Y
Yinan Xu 已提交
151
}
152 153


Y
Yinan Xu 已提交
154 155 156 157 158 159 160
// Load Pipeline Stage 2
// DCache resp
class LoadUnit_S2 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
161
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
Y
Yinan Xu 已提交
162
  })
163

Y
Yinan Xu 已提交
164 165 166
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
167
  val s2_cache_miss = io.dcacheResp.bits.miss
168
  val s2_cache_nack = io.dcacheResp.bits.nack
Y
Yinan Xu 已提交
169

170

171 172
  io.dcacheResp.ready := true.B
  assert(!(io.in.valid && !io.dcacheResp.valid), "DCache response got lost")
Y
Yinan Xu 已提交
173

174 175
  val forwardMask = io.in.bits.forwardMask
  val forwardData = io.in.bits.forwardData
Y
Yinan Xu 已提交
176
  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U
Y
Yinan Xu 已提交
177 178

  // data merge
Y
Yinan Xu 已提交
179
  val rdata = VecInit((0 until XLEN / 8).map(j =>
180
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))).asUInt
Y
Yinan Xu 已提交
181
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
182 183 184 185 186 187 188 189 190
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
Y
Yinan Xu 已提交
191
  val rdataPartialLoad = LookupTree(s2_uop.ctrl.fuOpType, List(
Y
Yinan Xu 已提交
192 193 194 195 196 197
      LSUOpType.lb   -> SignExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lh   -> SignExt(rdataSel(15, 0), XLEN),
      LSUOpType.lw   -> SignExt(rdataSel(31, 0), XLEN),
      LSUOpType.ld   -> SignExt(rdataSel(63, 0), XLEN),
      LSUOpType.lbu  -> ZeroExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lhu  -> ZeroExt(rdataSel(15, 0), XLEN),
L
LinJiawei 已提交
198 199
      LSUOpType.lwu  -> ZeroExt(rdataSel(31, 0), XLEN),
      LSUOpType.flw  -> boxF32ToF64(rdataSel(31, 0))
Y
Yinan Xu 已提交
200 201
  ))

Y
Yinan Xu 已提交
202
  // TODO: ECC check
Y
Yinan Xu 已提交
203

204
  io.out.valid := io.in.valid // && !s2_uop.needFlush(io.redirect) will cause comb. loop
Y
Yinan Xu 已提交
205
  // Inst will be canceled in store queue / lsq,
206
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
207 208
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
209 210
  io.out.bits.miss := (s2_cache_miss || s2_cache_nack) && !fullForward
  io.out.bits.mmio := io.in.bits.mmio
Y
Yinan Xu 已提交
211 212 213

  io.in.ready := io.out.ready || !io.in.valid

Y
Yinan Xu 已提交
214
  XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n",
215
    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
Y
Yinan Xu 已提交
216
    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt
Y
Yinan Xu 已提交
217 218
  )

Y
Yinan Xu 已提交
219
}
Y
Yinan Xu 已提交
220

Y
Yinan Xu 已提交
221 222 223 224 225 226 227

class LoadUnit extends XSModule {
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
    val redirect = Flipped(ValidIO(new Redirect))
    val tlbFeedback = ValidIO(new TlbFeedback)
228
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
229 230
    val dtlb = new TlbRequestIO()
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
231
    val lsq = new LoadToLsqIO
Y
Yinan Xu 已提交
232 233 234 235 236 237 238 239
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)

  load_s0.io.in <> io.ldin
  load_s0.io.redirect <> io.redirect
240
  load_s0.io.dtlbReq <> io.dtlb.req
241
  load_s0.io.dtlbResp <> io.dtlb.resp
242
  load_s0.io.dcacheReq <> io.dcache.req
243
  load_s0.io.tlbFeedback <> io.tlbFeedback
Y
Yinan Xu 已提交
244

245
  PipelineConnect(load_s0.io.out, load_s1.io.in, load_s1.io.out.fire() || load_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect), false.B)
Y
Yinan Xu 已提交
246

247
  io.dcache.s1_paddr := load_s1.io.out.bits.paddr
Y
Yinan Xu 已提交
248
  load_s1.io.redirect <> io.redirect
249
  io.dcache.s1_kill := DontCare // FIXME
250
  io.sbuffer <> load_s1.io.sbuffer
Y
Yinan Xu 已提交
251
  io.lsq.forward <> load_s1.io.lsq
Y
Yinan Xu 已提交
252

253
  PipelineConnect(load_s1.io.out, load_s2.io.in, load_s2.io.out.fire() || load_s1.io.out.bits.tlbMiss, false.B)
Y
Yinan Xu 已提交
254 255

  load_s2.io.redirect <> io.redirect
256
  load_s2.io.dcacheResp <> io.dcache.resp
Y
Yinan Xu 已提交
257 258

  XSDebug(load_s0.io.out.valid,
259
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
Y
Yinan Xu 已提交
260
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
Y
Yinan Xu 已提交
261 262
  XSDebug(load_s1.io.out.valid,
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.dtlb.resp.bits.miss}, " +
263
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
264

Y
Yinan Xu 已提交
265
  // writeback to LSQ
Y
Yinan Xu 已提交
266
  // Current dcache use MSHR
Y
Yinan Xu 已提交
267 268
  io.lsq.loadIn.valid := load_s2.io.out.valid
  io.lsq.loadIn.bits := load_s2.io.out.bits
Y
Yinan Xu 已提交
269

Y
Yinan Xu 已提交
270 271 272 273 274 275 276 277
  val hitLoadOut = Wire(Valid(new ExuOutput))
  hitLoadOut.valid := load_s2.io.out.valid && !load_s2.io.out.bits.miss
  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
  hitLoadOut.bits.data := load_s2.io.out.bits.data
  hitLoadOut.bits.redirectValid := false.B
  hitLoadOut.bits.redirect := DontCare
  hitLoadOut.bits.brUpdate := DontCare
  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
278
  hitLoadOut.bits.fflags := DontCare
Y
Yinan Xu 已提交
279

Y
Yinan Xu 已提交
280 281 282 283 284 285 286
  // TODO: arbiter
  // if hit, writeback result to CDB
  // val ldout = Vec(2, Decoupled(new ExuOutput))
  // when io.loadIn(i).fire() && !io.io.loadIn(i).miss, commit load to cdb
  // val cdbArb = Module(new Arbiter(new ExuOutput, 2))
  // io.ldout <> cdbArb.io.out
  // hitLoadOut <> cdbArb.io.in(0)
Y
Yinan Xu 已提交
287
  // io.lsq.ldout <> cdbArb.io.in(1) // missLoadOut
Y
Yinan Xu 已提交
288
  load_s2.io.out.ready := true.B
Y
Yinan Xu 已提交
289 290 291
  io.lsq.ldout.ready := !hitLoadOut.valid
  io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.ldout.bits)
  io.ldout.valid := hitLoadOut.valid || io.lsq.ldout.valid
292

293 294
  when(io.ldout.fire()){
    XSDebug("ldout %x iw %x fw %x\n", io.ldout.bits.uop.cf.pc, io.ldout.bits.uop.ctrl.rfWen, io.ldout.bits.uop.ctrl.fpWen)
295
  }
Y
Yinan Xu 已提交
296
}