LoadUnit.scala 9.8 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7 8
import xiangshan.cache._
// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp}
9
import xiangshan.backend.LSUOpType
Y
Yinan Xu 已提交
10 11 12 13 14 15 16

class LoadToLsroqIO extends XSBundle {
  val loadIn = ValidIO(new LsPipelineBundle)
  val ldout = Flipped(DecoupledIO(new ExuOutput))
  val forward = new LoadForwardQueryIO
}

Y
Yinan Xu 已提交
17 18 19
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
class LoadUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
20
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
21 22
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
Y
Yinan Xu 已提交
23
    val redirect = Flipped(ValidIO(new Redirect))
24
    val dtlbReq = Valid(new TlbReq)
25 26
    val dtlbResp = Flipped(Valid(new TlbResp))
    val tlbFeedback = ValidIO(new TlbFeedback)
27
    val dcacheReq = DecoupledIO(new DCacheLoadReq)
Y
Yinan Xu 已提交
28 29
  })

Y
Yinan Xu 已提交
30 31
  val s0_uop = io.in.bits.uop
  val s0_vaddr = io.in.bits.src1 + s0_uop.ctrl.imm
32 33
  val s0_paddr = io.dtlbResp.bits.paddr
  val s0_tlb_miss = io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
34 35 36
  val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0))

  // query DTLB
37 38 39 40 41 42
  io.dtlbReq.valid := io.out.valid
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
  io.dtlbReq.bits.roqIdx := s0_uop.roqIdx
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
  io.dtlbReq.bits.debug.lsroqIdx := s0_uop.lsroqIdx
43 44 45 46 47 48
  
  // feedback tlb result to RS
  // Note: can be moved to s1
  io.tlbFeedback.valid := io.out.valid
  io.tlbFeedback.bits.hit := !s0_tlb_miss
  io.tlbFeedback.bits.roqIdx := s0_uop.roqIdx
Y
Yinan Xu 已提交
49 50

  // query DCache
51 52 53 54
  io.dcacheReq.valid := io.out.valid
  io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
55 56 57 58 59 60 61 62 63 64 65
  io.dcacheReq.bits.data := DontCare

  // TODO: update cache meta
  io.dcacheReq.bits.meta.id       := DontCare
  io.dcacheReq.bits.meta.vaddr    := s0_vaddr
  io.dcacheReq.bits.meta.paddr    := DontCare
  io.dcacheReq.bits.meta.uop      := s0_uop
  io.dcacheReq.bits.meta.mmio     := false.B
  io.dcacheReq.bits.meta.tlb_miss := false.B
  io.dcacheReq.bits.meta.mask     := s0_mask
  io.dcacheReq.bits.meta.replay   := false.B
Y
Yinan Xu 已提交
66 67 68 69 70 71

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
72
  ))
Y
Yinan Xu 已提交
73 74 75 76

  io.out.valid := io.in.valid && !s0_uop.needFlush(io.redirect)
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
77 78
  io.out.bits.paddr := s0_paddr
  io.out.bits.tlbMiss := io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
79 80 81
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
82
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
Y
Yinan Xu 已提交
83 84 85 86 87 88 89 90 91 92 93 94 95

  io.in.ready := io.out.ready
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class LoadUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
    val forward = new LoadForwardQueryIO
96
    // val s1_kill = Output(Bool())
97
    val s1_paddr = Output(UInt(PAddrBits.W))
Y
Yinan Xu 已提交
98
  })
99

Y
Yinan Xu 已提交
100
  val s1_uop = io.in.bits.uop
101 102
  val s1_paddr = io.in.bits.paddr
  val s1_tlb_miss = io.in.bits.tlbMiss
Y
Yinan Xu 已提交
103
  val s1_mmio = !s1_tlb_miss && AddressSpace.isMMIO(s1_paddr)
104
  
105 106
  io.s1_paddr :=  s1_paddr

107
  io.forward.valid := io.in.valid // && !s1_uop.needFlush(io.redirect) will cause comb. loop
Y
Yinan Xu 已提交
108 109 110
  io.forward.paddr := s1_paddr
  io.forward.mask := io.in.bits.mask
  io.forward.lsroqIdx := s1_uop.lsroqIdx
111
  io.forward.sqIdx := s1_uop.sqIdx
Y
Yinan Xu 已提交
112 113 114 115 116 117 118
  io.forward.uop := s1_uop
  io.forward.pc := s1_uop.cf.pc

  io.out.valid := io.in.valid && !s1_uop.needFlush(io.redirect)
  io.out.bits := io.in.bits
  io.out.bits.paddr := s1_paddr
  io.out.bits.mmio := s1_mmio
119
  io.out.bits.tlbMiss := s1_tlb_miss
Y
Yinan Xu 已提交
120 121

  io.in.ready := io.out.ready || !io.in.valid
Y
Yinan Xu 已提交
122

Y
Yinan Xu 已提交
123
}
124 125


Y
Yinan Xu 已提交
126 127 128 129 130 131 132
// Load Pipeline Stage 2
// DCache resp
class LoadUnit_S2 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
133
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
Y
Yinan Xu 已提交
134 135 136
    val sbuffer = new LoadForwardQueryIO
    val lsroq = new LoadForwardQueryIO
  })
137

Y
Yinan Xu 已提交
138 139 140
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
141
  val s2_cache_miss = io.dcacheResp.bits.miss
142

143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159
  // load forward query datapath
  io.sbuffer.valid := io.in.valid
  io.sbuffer.paddr := s2_paddr
  io.sbuffer.uop := s2_uop
  io.sbuffer.sqIdx := s2_uop.sqIdx
  io.sbuffer.lsroqIdx := s2_uop.lsroqIdx
  io.sbuffer.mask := s2_mask
  io.sbuffer.pc := s2_uop.cf.pc // FIXME: remove it
  
  io.lsroq.valid := io.in.valid
  io.lsroq.paddr := s2_paddr
  io.lsroq.uop := s2_uop
  io.lsroq.sqIdx := s2_uop.sqIdx
  io.lsroq.lsroqIdx := s2_uop.lsroqIdx
  io.lsroq.mask := s2_mask
  io.lsroq.pc := s2_uop.cf.pc // FIXME: remove it

160 161
  io.dcacheResp.ready := true.B
  assert(!(io.in.valid && !io.dcacheResp.valid), "DCache response got lost")
Y
Yinan Xu 已提交
162

Y
Yinan Xu 已提交
163 164 165 166 167 168 169 170 171 172
  val forwardMask = WireInit(io.sbuffer.forwardMask)
  val forwardData = WireInit(io.sbuffer.forwardData)
  // generate XLEN/8 Muxs
  for (i <- 0 until XLEN / 8) {
    when(io.lsroq.forwardMask(i)) {
      forwardMask(i) := true.B
      forwardData(i) := io.lsroq.forwardData(i)
    }
  }
  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U
Y
Yinan Xu 已提交
173 174

  // data merge
Y
Yinan Xu 已提交
175
  val rdata = VecInit((0 until XLEN / 8).map(j => 
176
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))).asUInt
Y
Yinan Xu 已提交
177
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
178 179 180 181 182 183 184 185 186
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
Y
Yinan Xu 已提交
187
  val rdataPartialLoad = LookupTree(s2_uop.ctrl.fuOpType, List(
Y
Yinan Xu 已提交
188 189 190 191 192 193
      LSUOpType.lb   -> SignExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lh   -> SignExt(rdataSel(15, 0), XLEN),
      LSUOpType.lw   -> SignExt(rdataSel(31, 0), XLEN),
      LSUOpType.ld   -> SignExt(rdataSel(63, 0), XLEN),
      LSUOpType.lbu  -> ZeroExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lhu  -> ZeroExt(rdataSel(15, 0), XLEN),
A
Allen 已提交
194
      LSUOpType.lwu  -> ZeroExt(rdataSel(31, 0), XLEN)
Y
Yinan Xu 已提交
195 196
  ))

Y
Yinan Xu 已提交
197
  // TODO: ECC check
Y
Yinan Xu 已提交
198

199 200 201
  io.out.valid := io.in.valid // && !s2_uop.needFlush(io.redirect) will cause comb. loop
  // Inst will be canceled in store queue / lsroq, 
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
202 203 204 205 206 207 208
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
  io.out.bits.miss := s2_cache_miss && !fullForward

  io.in.ready := io.out.ready || !io.in.valid

}
Y
Yinan Xu 已提交
209

Y
Yinan Xu 已提交
210 211 212 213 214 215 216

class LoadUnit extends XSModule {
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
    val redirect = Flipped(ValidIO(new Redirect))
    val tlbFeedback = ValidIO(new TlbFeedback)
217
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
218 219 220 221 222 223 224 225 226 227 228
    val dtlb = new TlbRequestIO()
    val sbuffer = new LoadForwardQueryIO
    val lsroq = new LoadToLsroqIO
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)

  load_s0.io.in <> io.ldin
  load_s0.io.redirect <> io.redirect
229
  load_s0.io.dtlbReq <> io.dtlb.req
230
  load_s0.io.dtlbResp <> io.dtlb.resp
231
  load_s0.io.dcacheReq <> io.dcache.req
232
  load_s0.io.tlbFeedback <> io.tlbFeedback
Y
Yinan Xu 已提交
233 234 235

  PipelineConnect(load_s0.io.out, load_s1.io.in, load_s1.io.out.fire(), false.B)

236
  io.dcache.s1_paddr := load_s1.io.out.bits.paddr
Y
Yinan Xu 已提交
237
  load_s1.io.redirect <> io.redirect
238
  io.dcache.s1_kill := DontCare // FIXME
Y
Yinan Xu 已提交
239 240 241 242 243 244
  io.sbuffer <> load_s1.io.forward
  io.lsroq.forward <> load_s1.io.forward

  PipelineConnect(load_s1.io.out, load_s2.io.in, load_s2.io.out.fire(), false.B)

  load_s2.io.redirect <> io.redirect
245
  load_s2.io.dcacheResp <> io.dcache.resp
Y
Yinan Xu 已提交
246 247 248 249 250 251 252 253 254 255
  load_s2.io.sbuffer.forwardMask := io.sbuffer.forwardMask
  load_s2.io.sbuffer.forwardData := io.sbuffer.forwardData
  load_s2.io.lsroq.forwardMask := io.lsroq.forward.forwardMask
  load_s2.io.lsroq.forwardData := io.lsroq.forward.forwardData

  XSDebug(load_s0.io.out.valid,
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, " +
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
  XSDebug(load_s1.io.out.valid, 
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, tlb_miss ${io.dtlb.resp.bits.miss}, " + 
256
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
257 258 259

  // writeback to LSROQ
  // Current dcache use MSHR
Y
Yinan Xu 已提交
260 261
  io.lsroq.loadIn.valid := load_s2.io.out.valid
  io.lsroq.loadIn.bits := load_s2.io.out.bits
Y
Yinan Xu 已提交
262

Y
Yinan Xu 已提交
263 264 265 266 267 268 269 270
  val hitLoadOut = Wire(Valid(new ExuOutput))
  hitLoadOut.valid := load_s2.io.out.valid && !load_s2.io.out.bits.miss
  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
  hitLoadOut.bits.data := load_s2.io.out.bits.data
  hitLoadOut.bits.redirectValid := false.B
  hitLoadOut.bits.redirect := DontCare
  hitLoadOut.bits.brUpdate := DontCare
  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
Y
Yinan Xu 已提交
271

Y
Yinan Xu 已提交
272 273 274 275 276 277 278 279 280 281
  // TODO: arbiter
  // if hit, writeback result to CDB
  // val ldout = Vec(2, Decoupled(new ExuOutput))
  // when io.loadIn(i).fire() && !io.io.loadIn(i).miss, commit load to cdb
  // val cdbArb = Module(new Arbiter(new ExuOutput, 2))
  // io.ldout <> cdbArb.io.out
  // hitLoadOut <> cdbArb.io.in(0)
  // io.lsroq.ldout <> cdbArb.io.in(1) // missLoadOut
  load_s2.io.out.ready := true.B
  io.lsroq.ldout.ready := !hitLoadOut.valid
282
  io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsroq.ldout.bits)
283
  io.ldout.valid := hitLoadOut.valid || io.lsroq.ldout.valid
284

285 286
  when(io.ldout.fire()){
    XSDebug("ldout %x iw %x fw %x\n", io.ldout.bits.uop.cf.pc, io.ldout.bits.uop.ctrl.rfWen, io.ldout.bits.uop.ctrl.fpWen)
287
  }
Y
Yinan Xu 已提交
288
}