LoadUnit.scala 10.7 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7 8
import xiangshan.cache._
// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp}
9
import xiangshan.backend.LSUOpType
Y
Yinan Xu 已提交
10 11 12 13 14 15 16

class LoadToLsroqIO extends XSBundle {
  val loadIn = ValidIO(new LsPipelineBundle)
  val ldout = Flipped(DecoupledIO(new ExuOutput))
  val forward = new LoadForwardQueryIO
}

Y
Yinan Xu 已提交
17 18 19
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
class LoadUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
20
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
21 22
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
Y
Yinan Xu 已提交
23
    val redirect = Flipped(ValidIO(new Redirect))
24
    val dtlbReq = Valid(new TlbReq)
25 26
    val dtlbResp = Flipped(Valid(new TlbResp))
    val tlbFeedback = ValidIO(new TlbFeedback)
27
    val dcacheReq = DecoupledIO(new DCacheLoadReq)
Y
Yinan Xu 已提交
28 29
  })

Y
Yinan Xu 已提交
30 31
  val s0_uop = io.in.bits.uop
  val s0_vaddr = io.in.bits.src1 + s0_uop.ctrl.imm
32 33
  val s0_paddr = io.dtlbResp.bits.paddr
  val s0_tlb_miss = io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
34 35 36
  val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0))

  // query DTLB
37 38 39 40 41 42
  io.dtlbReq.valid := io.out.valid
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
  io.dtlbReq.bits.roqIdx := s0_uop.roqIdx
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
  io.dtlbReq.bits.debug.lsroqIdx := s0_uop.lsroqIdx
43 44 45 46 47 48
  
  // feedback tlb result to RS
  // Note: can be moved to s1
  io.tlbFeedback.valid := io.out.valid
  io.tlbFeedback.bits.hit := !s0_tlb_miss
  io.tlbFeedback.bits.roqIdx := s0_uop.roqIdx
Y
Yinan Xu 已提交
49 50

  // query DCache
51
  io.dcacheReq.valid := io.in.valid && !s0_uop.roqIdx.needFlush(io.redirect)
52 53 54
  io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
55 56 57 58 59 60 61 62 63 64 65
  io.dcacheReq.bits.data := DontCare

  // TODO: update cache meta
  io.dcacheReq.bits.meta.id       := DontCare
  io.dcacheReq.bits.meta.vaddr    := s0_vaddr
  io.dcacheReq.bits.meta.paddr    := DontCare
  io.dcacheReq.bits.meta.uop      := s0_uop
  io.dcacheReq.bits.meta.mmio     := false.B
  io.dcacheReq.bits.meta.tlb_miss := false.B
  io.dcacheReq.bits.meta.mask     := s0_mask
  io.dcacheReq.bits.meta.replay   := false.B
Y
Yinan Xu 已提交
66 67 68 69 70 71

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
72
  ))
Y
Yinan Xu 已提交
73

74
  io.out.valid := io.dcacheReq.fire() // dcache may not accept load request
Y
Yinan Xu 已提交
75 76
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
77 78
  io.out.bits.paddr := s0_paddr
  io.out.bits.tlbMiss := io.dtlbResp.bits.miss
Y
Yinan Xu 已提交
79 80 81
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
82
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
Y
Yinan Xu 已提交
83

84
  io.in.ready := io.out.fire()
85 86 87 88

  XSDebug(io.dcacheReq.fire(), "[DCACHE LOAD REQ] pc %x vaddr %x paddr will be %x\n", 
    s0_uop.cf.pc, s0_vaddr, s0_paddr
  )
Y
Yinan Xu 已提交
89 90 91 92 93 94 95 96 97 98 99
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class LoadUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
    val forward = new LoadForwardQueryIO
100
    // val s1_kill = Output(Bool())
101
    val s1_paddr = Output(UInt(PAddrBits.W))
Y
Yinan Xu 已提交
102
  })
103

Y
Yinan Xu 已提交
104
  val s1_uop = io.in.bits.uop
105 106
  val s1_paddr = io.in.bits.paddr
  val s1_tlb_miss = io.in.bits.tlbMiss
Y
Yinan Xu 已提交
107
  val s1_mmio = !s1_tlb_miss && AddressSpace.isMMIO(s1_paddr)
108
  
109 110
  io.s1_paddr :=  s1_paddr

111
  io.forward.valid := io.in.valid // && !s1_uop.needFlush(io.redirect) will cause comb. loop
Y
Yinan Xu 已提交
112 113 114
  io.forward.paddr := s1_paddr
  io.forward.mask := io.in.bits.mask
  io.forward.lsroqIdx := s1_uop.lsroqIdx
115
  io.forward.sqIdx := s1_uop.sqIdx
Y
Yinan Xu 已提交
116 117 118
  io.forward.uop := s1_uop
  io.forward.pc := s1_uop.cf.pc

119
  io.out.valid := io.in.valid && !s1_uop.roqIdx.needFlush(io.redirect)
Y
Yinan Xu 已提交
120 121 122
  io.out.bits := io.in.bits
  io.out.bits.paddr := s1_paddr
  io.out.bits.mmio := s1_mmio
123
  io.out.bits.tlbMiss := s1_tlb_miss
Y
Yinan Xu 已提交
124 125

  io.in.ready := io.out.ready || !io.in.valid
Y
Yinan Xu 已提交
126

Y
Yinan Xu 已提交
127
}
128 129


Y
Yinan Xu 已提交
130 131 132 133 134 135 136
// Load Pipeline Stage 2
// DCache resp
class LoadUnit_S2 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
    val redirect = Flipped(ValidIO(new Redirect))
137
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
Y
Yinan Xu 已提交
138 139 140
    val sbuffer = new LoadForwardQueryIO
    val lsroq = new LoadForwardQueryIO
  })
141

Y
Yinan Xu 已提交
142 143 144
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
145
  val s2_cache_miss = io.dcacheResp.bits.miss
146
  val s2_cache_nack = io.dcacheResp.bits.nack
147

148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164
  // load forward query datapath
  io.sbuffer.valid := io.in.valid
  io.sbuffer.paddr := s2_paddr
  io.sbuffer.uop := s2_uop
  io.sbuffer.sqIdx := s2_uop.sqIdx
  io.sbuffer.lsroqIdx := s2_uop.lsroqIdx
  io.sbuffer.mask := s2_mask
  io.sbuffer.pc := s2_uop.cf.pc // FIXME: remove it
  
  io.lsroq.valid := io.in.valid
  io.lsroq.paddr := s2_paddr
  io.lsroq.uop := s2_uop
  io.lsroq.sqIdx := s2_uop.sqIdx
  io.lsroq.lsroqIdx := s2_uop.lsroqIdx
  io.lsroq.mask := s2_mask
  io.lsroq.pc := s2_uop.cf.pc // FIXME: remove it

165 166
  io.dcacheResp.ready := true.B
  assert(!(io.in.valid && !io.dcacheResp.valid), "DCache response got lost")
Y
Yinan Xu 已提交
167

Y
Yinan Xu 已提交
168 169 170 171 172 173 174 175 176 177
  val forwardMask = WireInit(io.sbuffer.forwardMask)
  val forwardData = WireInit(io.sbuffer.forwardData)
  // generate XLEN/8 Muxs
  for (i <- 0 until XLEN / 8) {
    when(io.lsroq.forwardMask(i)) {
      forwardMask(i) := true.B
      forwardData(i) := io.lsroq.forwardData(i)
    }
  }
  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U
Y
Yinan Xu 已提交
178 179

  // data merge
Y
Yinan Xu 已提交
180
  val rdata = VecInit((0 until XLEN / 8).map(j => 
181
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))).asUInt
Y
Yinan Xu 已提交
182
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
183 184 185 186 187 188 189 190 191
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
Y
Yinan Xu 已提交
192
  val rdataPartialLoad = LookupTree(s2_uop.ctrl.fuOpType, List(
Y
Yinan Xu 已提交
193 194 195 196 197 198
      LSUOpType.lb   -> SignExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lh   -> SignExt(rdataSel(15, 0), XLEN),
      LSUOpType.lw   -> SignExt(rdataSel(31, 0), XLEN),
      LSUOpType.ld   -> SignExt(rdataSel(63, 0), XLEN),
      LSUOpType.lbu  -> ZeroExt(rdataSel(7, 0) , XLEN),
      LSUOpType.lhu  -> ZeroExt(rdataSel(15, 0), XLEN),
A
Allen 已提交
199
      LSUOpType.lwu  -> ZeroExt(rdataSel(31, 0), XLEN)
Y
Yinan Xu 已提交
200 201
  ))

Y
Yinan Xu 已提交
202
  // TODO: ECC check
Y
Yinan Xu 已提交
203

204 205 206
  io.out.valid := io.in.valid // && !s2_uop.needFlush(io.redirect) will cause comb. loop
  // Inst will be canceled in store queue / lsroq, 
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
207 208
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
209 210
  io.out.bits.miss := (s2_cache_miss || s2_cache_nack) && !fullForward
  io.out.bits.mmio := io.in.bits.mmio
Y
Yinan Xu 已提交
211 212 213

  io.in.ready := io.out.ready || !io.in.valid

214
  XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b) + %x(%b)\n", 
215
    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
216 217
    io.lsroq.forwardData.asUInt, io.lsroq.forwardMask.asUInt, 
    io.sbuffer.forwardData.asUInt, io.sbuffer.forwardMask.asUInt
218 219
  )

Y
Yinan Xu 已提交
220
}
Y
Yinan Xu 已提交
221

Y
Yinan Xu 已提交
222 223 224 225 226 227 228

class LoadUnit extends XSModule {
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
    val redirect = Flipped(ValidIO(new Redirect))
    val tlbFeedback = ValidIO(new TlbFeedback)
229
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
230 231 232 233 234 235 236 237 238 239 240
    val dtlb = new TlbRequestIO()
    val sbuffer = new LoadForwardQueryIO
    val lsroq = new LoadToLsroqIO
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)

  load_s0.io.in <> io.ldin
  load_s0.io.redirect <> io.redirect
241
  load_s0.io.dtlbReq <> io.dtlb.req
242
  load_s0.io.dtlbResp <> io.dtlb.resp
243
  load_s0.io.dcacheReq <> io.dcache.req
244
  load_s0.io.tlbFeedback <> io.tlbFeedback
Y
Yinan Xu 已提交
245

246
  PipelineConnect(load_s0.io.out, load_s1.io.in, load_s1.io.out.fire() || load_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect), false.B)
Y
Yinan Xu 已提交
247

248
  io.dcache.s1_paddr := load_s1.io.out.bits.paddr
Y
Yinan Xu 已提交
249
  load_s1.io.redirect <> io.redirect
250
  io.dcache.s1_kill := DontCare // FIXME
251 252 253
//  io.sbuffer <> load_s1.io.forward
//  io.lsroq.forward <> load_s1.io.forward
  load_s1.io.forward <> DontCare // TODO: do we still need this? can we remove s1.io.forward?
Y
Yinan Xu 已提交
254 255 256 257

  PipelineConnect(load_s1.io.out, load_s2.io.in, load_s2.io.out.fire(), false.B)

  load_s2.io.redirect <> io.redirect
258
  load_s2.io.dcacheResp <> io.dcache.resp
259 260 261 262 263 264
  io.sbuffer <> load_s2.io.sbuffer
  io.lsroq.forward <> load_s2.io.lsroq
//  load_s2.io.sbuffer.forwardMask := io.sbuffer.forwardMask
//  load_s2.io.sbuffer.forwardData := io.sbuffer.forwardData
//  load_s2.io.lsroq.forwardMask := io.lsroq.forward.forwardMask
//  load_s2.io.lsroq.forwardData := io.lsroq.forward.forwardData
Y
Yinan Xu 已提交
265 266

  XSDebug(load_s0.io.out.valid,
267
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
Y
Yinan Xu 已提交
268 269
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
  XSDebug(load_s1.io.out.valid, 
270
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.dtlb.resp.bits.miss}, " + 
271
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
272 273 274

  // writeback to LSROQ
  // Current dcache use MSHR
Y
Yinan Xu 已提交
275 276
  io.lsroq.loadIn.valid := load_s2.io.out.valid
  io.lsroq.loadIn.bits := load_s2.io.out.bits
Y
Yinan Xu 已提交
277

Y
Yinan Xu 已提交
278 279 280 281 282 283 284 285
  val hitLoadOut = Wire(Valid(new ExuOutput))
  hitLoadOut.valid := load_s2.io.out.valid && !load_s2.io.out.bits.miss
  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
  hitLoadOut.bits.data := load_s2.io.out.bits.data
  hitLoadOut.bits.redirectValid := false.B
  hitLoadOut.bits.redirect := DontCare
  hitLoadOut.bits.brUpdate := DontCare
  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
Y
Yinan Xu 已提交
286

Y
Yinan Xu 已提交
287 288 289 290 291 292 293 294 295 296
  // TODO: arbiter
  // if hit, writeback result to CDB
  // val ldout = Vec(2, Decoupled(new ExuOutput))
  // when io.loadIn(i).fire() && !io.io.loadIn(i).miss, commit load to cdb
  // val cdbArb = Module(new Arbiter(new ExuOutput, 2))
  // io.ldout <> cdbArb.io.out
  // hitLoadOut <> cdbArb.io.in(0)
  // io.lsroq.ldout <> cdbArb.io.in(1) // missLoadOut
  load_s2.io.out.ready := true.B
  io.lsroq.ldout.ready := !hitLoadOut.valid
297
  io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsroq.ldout.bits)
298
  io.ldout.valid := hitLoadOut.valid || io.lsroq.ldout.valid
299

300 301
  when(io.ldout.fire()){
    XSDebug("ldout %x iw %x fw %x\n", io.ldout.bits.uop.cf.pc, io.ldout.bits.uop.ctrl.rfWen, io.ldout.bits.uop.ctrl.fpWen)
302
  }
Y
Yinan Xu 已提交
303
}