LoadQueue.scala 24.0 KB
Newer Older
Y
Yinan Xu 已提交
1
package xiangshan.mem
W
William Wang 已提交
2 3 4

import chisel3._
import chisel3.util._
5
import utils._
Y
Yinan Xu 已提交
6 7
import xiangshan._
import xiangshan.cache._
8
import xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants}
9
import xiangshan.backend.LSUOpType
10
import xiangshan.mem._
Y
Yinan Xu 已提交
11
import xiangshan.backend.roq.RoqPtr
L
linjiawei 已提交
12
import xiangshan.backend.fu.fpu.boxF32ToF64
W
William Wang 已提交
13 14


15
class LqPtr extends CircularQueuePtr(LqPtr.LoadQueueSize) { }
16 17 18 19 20 21 22 23

object LqPtr extends HasXSParameter {
  def apply(f: Bool, v: UInt): LqPtr = {
    val ptr = Wire(new LqPtr)
    ptr.flag := f
    ptr.value := v
    ptr
  }
24 25
}

26

27
// Load Queue
28
class LoadQueue extends XSModule with HasDCacheParameters with HasCircularQueuePtrHelper {
W
William Wang 已提交
29 30
  val io = IO(new Bundle() {
    val dp1Req = Vec(RenameWidth, Flipped(DecoupledIO(new MicroOp)))
W
William Wang 已提交
31 32
    val lqReady = Output(Vec(RenameWidth, Bool()))
    val sqReady = Input(Vec(RenameWidth, Bool()))
33
    val lqIdxs = Output(Vec(RenameWidth, new LqPtr)) // LSIdx will be assembled in LSQWrapper
W
William Wang 已提交
34
    val brqRedirect = Input(Valid(new Redirect))
W
William Wang 已提交
35
    val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle)))
36
    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // FIXME: Valid() only
37
    val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback load
W
William Wang 已提交
38
    val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO))
39
    val commits = Flipped(Vec(CommitWidth, Valid(new RoqCommit)))
40
    val rollback = Output(Valid(new Redirect)) // replay now starts from load instead of store
41 42
    val dcache = new DCacheLineIO
    val uncache = new DCacheWordIO
Y
Yinan Xu 已提交
43
    val roqDeqPtr = Input(new RoqPtr)
44
    val exceptionAddr = new ExceptionAddrIO
45
    // val refill = Flipped(Valid(new DCacheLineReq ))
W
William Wang 已提交
46
  })
47
  
W
William Wang 已提交
48
  val uop = Reg(Vec(LoadQueueSize, new MicroOp))
W
William Wang 已提交
49
  // val data = Reg(Vec(LoadQueueSize, new LsRoqEntry))
W
William Wang 已提交
50
  val dataModule = Module(new LSQueueData(LoadQueueSize, LoadPipelineWidth))
W
William Wang 已提交
51
  dataModule.io := DontCare
W
William Wang 已提交
52 53 54 55 56 57 58
  val allocated = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // lq entry has been allocated
  val valid = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // data is valid
  val writebacked = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB
  val commited = Reg(Vec(LoadQueueSize, Bool())) // inst has been writebacked to CDB
  val miss = Reg(Vec(LoadQueueSize, Bool())) // load inst missed, waiting for miss queue to accept miss request
  val listening = Reg(Vec(LoadQueueSize, Bool())) // waiting for refill result
  val pending = Reg(Vec(LoadQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of roq
59
  
60 61 62 63 64
  val ringBufferHeadExtended = RegInit(0.U.asTypeOf(new LqPtr))
  val ringBufferTailExtended = RegInit(0.U.asTypeOf(new LqPtr))
  val ringBufferHead = ringBufferHeadExtended.value
  val ringBufferTail = ringBufferTailExtended.value
  val ringBufferSameFlag = ringBufferHeadExtended.flag === ringBufferTailExtended.flag
65 66
  val ringBufferEmpty = ringBufferHead === ringBufferTail && ringBufferSameFlag
  val ringBufferFull = ringBufferHead === ringBufferTail && !ringBufferSameFlag
W
William Wang 已提交
67
  val ringBufferAllowin = !ringBufferFull
68
  
69
  val loadCommit = (0 until CommitWidth).map(i => io.commits(i).valid && !io.commits(i).bits.isWalk && io.commits(i).bits.uop.ctrl.commitType === CommitType.LOAD)
70
  val mcommitIdx = (0 until CommitWidth).map(i => io.commits(i).bits.uop.lqIdx.value)
71

W
William Wang 已提交
72 73
  val tailMask = (((1.U((LoadQueueSize + 1).W)) << ringBufferTail).asUInt - 1.U)(LoadQueueSize - 1, 0)
  val headMask = (((1.U((LoadQueueSize + 1).W)) << ringBufferHead).asUInt - 1.U)(LoadQueueSize - 1, 0)
74 75 76
  val enqDeqMask1 = tailMask ^ headMask
  val enqDeqMask = Mux(ringBufferSameFlag, enqDeqMask1, ~enqDeqMask1)

W
William Wang 已提交
77
  // Enqueue at dispatch
78
  val emptyEntries = LoadQueueSize.U - distanceBetween(ringBufferHeadExtended, ringBufferTailExtended)
W
William Wang 已提交
79 80
  XSDebug("(ready, valid): ")
  for (i <- 0 until RenameWidth) {
81
    val offset = if (i == 0) 0.U else PopCount((0 until i).map(io.dp1Req(_).valid))
W
William Wang 已提交
82
    val lqIdx = ringBufferHeadExtended + offset
83
    val index = lqIdx.value
L
LinJiawei 已提交
84
    when(io.dp1Req(i).fire()) {
Y
Yinan Xu 已提交
85 86 87 88 89 90 91 92
      uop(index) := io.dp1Req(i).bits
      allocated(index) := true.B
      valid(index) := false.B
      writebacked(index) := false.B
      commited(index) := false.B
      miss(index) := false.B
      listening(index) := false.B
      pending(index) := false.B
W
William Wang 已提交
93
    }
94
    val numTryEnqueue = offset +& io.dp1Req(i).valid
W
William Wang 已提交
95
    io.lqReady(i) := numTryEnqueue <= emptyEntries
W
William Wang 已提交
96
    io.dp1Req(i).ready := io.lqReady(i) && io.sqReady(i)
W
William Wang 已提交
97
    io.lqIdxs(i) := lqIdx
W
William Wang 已提交
98 99 100 101 102
    XSDebug(false, true.B, "(%d, %d) ", io.dp1Req(i).ready, io.dp1Req(i).valid)
  }
  XSDebug(false, true.B, "\n")

  val firedDispatch = VecInit((0 until CommitWidth).map(io.dp1Req(_).fire())).asUInt
L
LinJiawei 已提交
103
  when(firedDispatch.orR) {
W
William Wang 已提交
104
    ringBufferHeadExtended := ringBufferHeadExtended + PopCount(firedDispatch)
W
William Wang 已提交
105
    XSInfo("dispatched %d insts to lq\n", PopCount(firedDispatch))
W
William Wang 已提交
106 107 108 109
  }

  // writeback load
  (0 until LoadPipelineWidth).map(i => {
W
William Wang 已提交
110
    dataModule.io.wb(i).wen := false.B
L
LinJiawei 已提交
111 112
    when(io.loadIn(i).fire()) {
      when(io.loadIn(i).bits.miss) {
W
William Wang 已提交
113
        XSInfo(io.loadIn(i).valid, "load miss write to lq idx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x roll %x exc %x\n",
114
          io.loadIn(i).bits.uop.lqIdx.asUInt,
W
William Wang 已提交
115 116 117 118
          io.loadIn(i).bits.uop.cf.pc,
          io.loadIn(i).bits.vaddr,
          io.loadIn(i).bits.paddr,
          io.loadIn(i).bits.data,
119 120 121
          io.loadIn(i).bits.mask,
          io.loadIn(i).bits.forwardData.asUInt,
          io.loadIn(i).bits.forwardMask.asUInt,
W
William Wang 已提交
122
          io.loadIn(i).bits.mmio,
123 124 125 126
          io.loadIn(i).bits.rollback,
          io.loadIn(i).bits.uop.cf.exceptionVec.asUInt
          )
        }.otherwise {
127
          XSInfo(io.loadIn(i).valid, "load hit write to cbd lqidx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x roll %x exc %x\n",
128
          io.loadIn(i).bits.uop.lqIdx.asUInt,
129 130 131 132
          io.loadIn(i).bits.uop.cf.pc,
          io.loadIn(i).bits.vaddr,
          io.loadIn(i).bits.paddr,
          io.loadIn(i).bits.data,
133 134 135
          io.loadIn(i).bits.mask,
          io.loadIn(i).bits.forwardData.asUInt,
          io.loadIn(i).bits.forwardMask.asUInt,
136
          io.loadIn(i).bits.mmio,
137 138 139 140
          io.loadIn(i).bits.rollback,
          io.loadIn(i).bits.uop.cf.exceptionVec.asUInt
          )
        }
141 142 143 144
        val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value
        valid(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio
        writebacked(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio
        // allocated(loadWbIndex) := io.loadIn(i).bits.miss // if hit, lq entry can be recycled
W
William Wang 已提交
145
        
W
William Wang 已提交
146
        val loadWbData = Wire(new LsqEntry)
W
William Wang 已提交
147 148 149 150 151 152 153 154 155 156 157
        loadWbData.paddr := io.loadIn(i).bits.paddr
        loadWbData.vaddr := io.loadIn(i).bits.vaddr
        loadWbData.mask := io.loadIn(i).bits.mask
        loadWbData.data := io.loadIn(i).bits.data // for mmio / misc / debug
        loadWbData.mmio := io.loadIn(i).bits.mmio
        loadWbData.fwdMask := io.loadIn(i).bits.forwardMask
        loadWbData.fwdData := io.loadIn(i).bits.forwardData
        loadWbData.exception := io.loadIn(i).bits.uop.cf.exceptionVec.asUInt
        dataModule.io.wbWrite(i, loadWbIndex, loadWbData)
        dataModule.io.wb(i).wen := true.B

158
        val dcacheMissed = io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio
159 160 161
        miss(loadWbIndex) := dcacheMissed
        listening(loadWbIndex) := dcacheMissed
        pending(loadWbIndex) := io.loadIn(i).bits.mmio
162
      }
163
    })
W
William Wang 已提交
164

W
William Wang 已提交
165
  // cache miss request
166 167 168 169
  val inflightReqs = RegInit(VecInit(Seq.fill(cfg.nLoadMissEntries)(0.U.asTypeOf(new InflightBlockInfo))))
  val inflightReqFull = inflightReqs.map(req => req.valid).reduce(_&&_)
  val reqBlockIndex = PriorityEncoder(~VecInit(inflightReqs.map(req => req.valid)).asUInt)

W
William Wang 已提交
170
  val missRefillSelVec = VecInit(
W
William Wang 已提交
171
    (0 until LoadQueueSize).map{ i =>
W
William Wang 已提交
172
      val inflight = inflightReqs.map(req => req.valid && req.block_addr === get_block_addr(dataModule.io.rdata(i).paddr)).reduce(_||_)
173 174 175
      allocated(i) && miss(i) && !inflight
    })

176
  val missRefillSel = getFirstOne(missRefillSelVec, tailMask)
W
William Wang 已提交
177
  val missRefillBlockAddr = get_block_addr(dataModule.io.rdata(missRefillSel).paddr)
178 179
  io.dcache.req.valid := missRefillSelVec.asUInt.orR
  io.dcache.req.bits.cmd := MemoryOpConstants.M_XRD
180
  io.dcache.req.bits.addr := missRefillBlockAddr
181
  io.dcache.req.bits.data := DontCare
182
  io.dcache.req.bits.mask := DontCare
183

W
William Wang 已提交
184 185
  io.dcache.req.bits.meta.id       := DontCare
  io.dcache.req.bits.meta.vaddr    := DontCare // dataModule.io.rdata(missRefillSel).vaddr
186
  io.dcache.req.bits.meta.paddr    := missRefillBlockAddr
187
  io.dcache.req.bits.meta.uop      := uop(missRefillSel)
W
William Wang 已提交
188
  io.dcache.req.bits.meta.mmio     := false.B // dataModule.io.rdata(missRefillSel).mmio
189
  io.dcache.req.bits.meta.tlb_miss := false.B
190
  io.dcache.req.bits.meta.mask     := DontCare
191 192 193 194
  io.dcache.req.bits.meta.replay   := false.B

  io.dcache.resp.ready := true.B

W
William Wang 已提交
195
  assert(!(dataModule.io.rdata(missRefillSel).mmio && io.dcache.req.valid))
196 197

  when(io.dcache.req.fire()) {
W
William Wang 已提交
198 199
    miss(missRefillSel) := false.B
    listening(missRefillSel) := true.B
200 201 202 203 204

    // mark this block as inflight
    inflightReqs(reqBlockIndex).valid := true.B
    inflightReqs(reqBlockIndex).block_addr := missRefillBlockAddr
    assert(!inflightReqs(reqBlockIndex).valid)
W
William Wang 已提交
205 206
  }

207 208 209 210 211 212 213 214 215 216 217
  when(io.dcache.resp.fire()) {
    val inflight = inflightReqs.map(req => req.valid && req.block_addr === get_block_addr(io.dcache.resp.bits.meta.paddr)).reduce(_||_)
    assert(inflight)
    for (i <- 0 until cfg.nLoadMissEntries) {
      when (inflightReqs(i).valid && inflightReqs(i).block_addr === get_block_addr(io.dcache.resp.bits.meta.paddr)) {
        inflightReqs(i).valid := false.B
      }
    }
  }


218
  when(io.dcache.req.fire()){
219
    XSDebug("miss req: pc:0x%x roqIdx:%d lqIdx:%d (p)addr:0x%x vaddr:0x%x\n",
220
      io.dcache.req.bits.meta.uop.cf.pc, io.dcache.req.bits.meta.uop.roqIdx.asUInt, io.dcache.req.bits.meta.uop.lqIdx.asUInt,
Y
Yinan Xu 已提交
221 222
      io.dcache.req.bits.addr, io.dcache.req.bits.meta.vaddr
    )
223 224 225
  }

  when(io.dcache.resp.fire()){
226
    XSDebug("miss resp: pc:0x%x roqIdx:%d lqIdx:%d (p)addr:0x%x data %x\n",
227
      io.dcache.resp.bits.meta.uop.cf.pc, io.dcache.resp.bits.meta.uop.roqIdx.asUInt, io.dcache.resp.bits.meta.uop.lqIdx.asUInt,
Y
Yinan Xu 已提交
228
      io.dcache.resp.bits.meta.paddr, io.dcache.resp.bits.data
229
    ) 
230 231
  }

232
  // Refill 64 bit in a cycle
233
  // Refill data comes back from io.dcache.resp
W
William Wang 已提交
234
  dataModule.io.refill.dcache := io.dcache.resp.bits
W
William Wang 已提交
235

W
William Wang 已提交
236
  (0 until LoadQueueSize).map(i => {
W
William Wang 已提交
237
    val blockMatch = get_block_addr(dataModule.io.rdata(i).paddr) === io.dcache.resp.bits.meta.paddr
W
William Wang 已提交
238
    dataModule.io.refill.wen(i) := false.B
239
    when(allocated(i) && listening(i) && blockMatch && io.dcache.resp.fire()) {
W
William Wang 已提交
240
      dataModule.io.refill.wen(i) := true.B
W
William Wang 已提交
241 242 243 244
      valid(i) := true.B
      listening(i) := false.B
    }
  })
W
William Wang 已提交
245 246 247

  // writeback up to 2 missed load insts to CDB
  // just randomly pick 2 missed load (data refilled), write them back to cdb
W
William Wang 已提交
248
  val loadWbSelVec = VecInit((0 until LoadQueueSize).map(i => {
249
    allocated(i) && valid(i) && !writebacked(i)
L
LinJiawei 已提交
250
  })).asUInt() // use uint instead vec to reduce verilog lines
W
William Wang 已提交
251
  val loadWbSel = Wire(Vec(StorePipelineWidth, UInt(log2Up(LoadQueueSize).W)))
252
  val loadWbSelV= Wire(Vec(StorePipelineWidth, Bool()))
L
LinJiawei 已提交
253 254 255
  val lselvec0 = PriorityEncoderOH(loadWbSelVec)
  val lselvec1 = PriorityEncoderOH(loadWbSelVec & (~lselvec0).asUInt)
  loadWbSel(0) := OHToUInt(lselvec0)
256
  loadWbSelV(0):= lselvec0.orR
L
LinJiawei 已提交
257
  loadWbSel(1) := OHToUInt(lselvec1)
258
  loadWbSelV(1) := lselvec1.orR
W
William Wang 已提交
259
  (0 until StorePipelineWidth).map(i => {
260
    // data select
W
William Wang 已提交
261
    val rdata = dataModule.io.rdata(loadWbSel(i)).data
262
    val func = uop(loadWbSel(i)).ctrl.fuOpType
W
William Wang 已提交
263
    val raddr = dataModule.io.rdata(loadWbSel(i)).paddr
264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280
    val rdataSel = LookupTree(raddr(2, 0), List(
      "b000".U -> rdata(63, 0),
      "b001".U -> rdata(63, 8),
      "b010".U -> rdata(63, 16),
      "b011".U -> rdata(63, 24),
      "b100".U -> rdata(63, 32),
      "b101".U -> rdata(63, 40),
      "b110".U -> rdata(63, 48),
      "b111".U -> rdata(63, 56)
    ))
    val rdataPartialLoad = LookupTree(func, List(
        LSUOpType.lb   -> SignExt(rdataSel(7, 0) , XLEN),
        LSUOpType.lh   -> SignExt(rdataSel(15, 0), XLEN),
        LSUOpType.lw   -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.ld   -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.lbu  -> ZeroExt(rdataSel(7, 0) , XLEN),
        LSUOpType.lhu  -> ZeroExt(rdataSel(15, 0), XLEN),
L
LinJiawei 已提交
281 282
        LSUOpType.lwu  -> ZeroExt(rdataSel(31, 0), XLEN),
        LSUOpType.flw  -> boxF32ToF64(rdataSel(31, 0))
283
    ))
W
William Wang 已提交
284
    io.ldout(i).bits.uop := uop(loadWbSel(i))
W
William Wang 已提交
285
    io.ldout(i).bits.uop.cf.exceptionVec := dataModule.io.rdata(loadWbSel(i)).exception.asBools
286
    io.ldout(i).bits.uop.lqIdx := loadWbSel(i).asTypeOf(new LqPtr)
287
    io.ldout(i).bits.data := rdataPartialLoad
W
William Wang 已提交
288 289 290
    io.ldout(i).bits.redirectValid := false.B
    io.ldout(i).bits.redirect := DontCare
    io.ldout(i).bits.brUpdate := DontCare
W
William Wang 已提交
291
    io.ldout(i).bits.debug.isMMIO := dataModule.io.rdata(loadWbSel(i)).mmio
L
LinJiawei 已提交
292
    io.ldout(i).bits.fflags := DontCare
293
    io.ldout(i).valid := loadWbSelVec(loadWbSel(i)) && loadWbSelV(i)
L
LinJiawei 已提交
294
    when(io.ldout(i).fire()) {
295
      writebacked(loadWbSel(i)) := true.B
296
      XSInfo("load miss write to cbd roqidx %d lqidx %d pc 0x%x paddr %x data %x mmio %x\n",
297
        io.ldout(i).bits.uop.roqIdx.asUInt,
298
        io.ldout(i).bits.uop.lqIdx.asUInt,
299
        io.ldout(i).bits.uop.cf.pc,
W
William Wang 已提交
300 301 302
        dataModule.io.rdata(loadWbSel(i)).paddr,
        dataModule.io.rdata(loadWbSel(i)).data,
        dataModule.io.rdata(loadWbSel(i)).mmio
303
      )
W
William Wang 已提交
304 305 306
    }
  })

W
William Wang 已提交
307
  // move tailPtr
308
  // allocatedMask: dequeuePtr can go to the next 1-bit
309
  val allocatedMask = VecInit((0 until LoadQueueSize).map(i => allocated(i) || !enqDeqMask(i)))
310
  // find the first one from deqPtr (ringBufferTail)
311
  val nextTail1 = getFirstOneWithFlag(allocatedMask, tailMask, ringBufferTailExtended.flag)
312 313
  val nextTail = Mux(Cat(allocatedMask).orR, nextTail1, ringBufferHeadExtended)
  ringBufferTailExtended := nextTail
W
William Wang 已提交
314

315 316 317 318 319 320 321 322
  // When load commited, mark it as !allocated, this entry will be recycled later
  (0 until CommitWidth).map(i => {
    when(loadCommit(i)) {
      allocated(mcommitIdx(i)) := false.B
      XSDebug("load commit %d: idx %d %x\n", i.U, mcommitIdx(i), uop(mcommitIdx(i)).cf.pc)
    }
  })

W
William Wang 已提交
323
  // rollback check
W
William Wang 已提交
324 325
  val rollback = Wire(Vec(StorePipelineWidth, Valid(new Redirect)))

326
  def getFirstOne(mask: Vec[Bool], startMask: UInt) = {
327
    val length = mask.length
328
    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
Y
Yinan Xu 已提交
329 330
    val highBitsUint = Cat(highBits.reverse)
    PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt))
331
  }
W
William Wang 已提交
332

333
  def getFirstOneWithFlag(mask: Vec[Bool], startMask: UInt, startFlag: Bool) = {
334
    val length = mask.length
335
    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
336 337 338
    val highBitsUint = Cat(highBits.reverse)
    val changeDirection = !highBitsUint.orR()
    val index = PriorityEncoder(Mux(!changeDirection, highBitsUint, mask.asUInt))
339
    LqPtr(startFlag ^ changeDirection, index)
340 341
  }

342 343 344 345
  def getOldestInTwo(valid: Seq[Bool], uop: Seq[MicroOp]) = {
    assert(valid.length == uop.length)
    assert(valid.length == 2)
    Mux(valid(0) && valid(1),
Y
Yinan Xu 已提交
346
      Mux(isAfter(uop(0).roqIdx, uop(1).roqIdx), uop(1), uop(0)),
347 348 349 350 351 352 353 354 355
      Mux(valid(0) && !valid(1), uop(0), uop(1)))
  }

  def getAfterMask(valid: Seq[Bool], uop: Seq[MicroOp]) = {
    assert(valid.length == uop.length)
    val length = valid.length
    (0 until length).map(i => {
      (0 until length).map(j => {
        Mux(valid(i) && valid(j),
Y
Yinan Xu 已提交
356
          isAfter(uop(i).roqIdx, uop(j).roqIdx),
357 358 359 360
          Mux(!valid(i), true.B, false.B))
      })
    })
  }
W
William Wang 已提交
361

362 363 364
  def rangeMask(start: LqPtr, end: LqPtr): UInt = {
    val startMask = (1.U((LoadQueueSize + 1).W) << start.value).asUInt - 1.U
    val endMask = (1.U((LoadQueueSize + 1).W) << end.value).asUInt - 1.U
W
William Wang 已提交
365
    val xorMask = startMask(LoadQueueSize - 1, 0) ^ endMask(LoadQueueSize - 1, 0)
366
    Mux(start.flag === end.flag, xorMask, ~xorMask)
Y
Yinan Xu 已提交
367 368
  }

369 370 371 372 373 374
  // ignore data forward
  (0 until LoadPipelineWidth).foreach(i => {
    io.forward(i).forwardMask := DontCare
    io.forward(i).forwardData := DontCare
  })

W
William Wang 已提交
375
  // store backward query and rollback
L
LinJiawei 已提交
376 377
  //  val needCheck = Seq.fill(8)(WireInit(true.B))
  (0 until StorePipelineWidth).foreach(i => {
W
William Wang 已提交
378
    rollback(i) := DontCare
379

L
LinJiawei 已提交
380
    when(io.storeIn(i).valid) {
381
      val startIndex = io.storeIn(i).bits.uop.lqIdx.value
W
William Wang 已提交
382 383
      val lqIdxMask = ((1.U((LoadQueueSize + 1).W) << startIndex).asUInt - 1.U)(LoadQueueSize - 1, 0)
      val xorMask = lqIdxMask ^ headMask
384
      val sameFlag = io.storeIn(i).bits.uop.lqIdx.flag === ringBufferHeadExtended.flag
385
      val toEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask)
386 387

      // check if load already in lq needs to be rolledback
W
William Wang 已提交
388
      val lqViolationVec = VecInit((0 until LoadQueueSize).map(j => {
Y
Yinan Xu 已提交
389
        val addrMatch = allocated(j) &&
W
William Wang 已提交
390
          io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === dataModule.io.rdata(j).paddr(PAddrBits - 1, 3)
391
        val entryNeedCheck = toEnqPtrMask(j) && addrMatch && (valid(j) || listening(j) || miss(j))
Y
Yinan Xu 已提交
392
        // TODO: update refilled data
W
William Wang 已提交
393
        val violationVec = (0 until 8).map(k => dataModule.io.rdata(j).mask(k) && io.storeIn(i).bits.mask(k))
Y
Yinan Xu 已提交
394
        Cat(violationVec).orR() && entryNeedCheck
395
      }))
W
William Wang 已提交
396 397 398 399
      val lqViolation = lqViolationVec.asUInt().orR()
      val lqViolationIndex = getFirstOne(lqViolationVec, lqIdxMask)
      val lqViolationUop = uop(lqViolationIndex)
      XSDebug(lqViolation, p"${Binary(Cat(lqViolationVec))}, $startIndex, $lqViolationIndex\n")
W
William Wang 已提交
400

W
William Wang 已提交
401
      // when l/s writeback to roq together, check if rollback is needed
402
      val wbViolationVec = VecInit((0 until LoadPipelineWidth).map(j => {
L
LinJiawei 已提交
403
        io.loadIn(j).valid &&
Y
Yinan Xu 已提交
404
          isAfter(io.loadIn(j).bits.uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) &&
L
LinJiawei 已提交
405
          io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.loadIn(j).bits.paddr(PAddrBits - 1, 3) &&
406
          (io.storeIn(i).bits.mask & io.loadIn(j).bits.mask).orR
407
      }))
Y
Yinan Xu 已提交
408
      val wbViolation = wbViolationVec.asUInt().orR()
409
      val wbViolationUop = getOldestInTwo(wbViolationVec, io.loadIn.map(_.bits.uop))
Y
Yinan Xu 已提交
410
      XSDebug(wbViolation, p"${Binary(Cat(wbViolationVec))}, $wbViolationUop\n")
L
LinJiawei 已提交
411

412 413
      // check if rollback is needed for load in l1
      val l1ViolationVec = VecInit((0 until LoadPipelineWidth).map(j => {
414
        io.forward(j).valid && // L4 valid\
Y
Yinan Xu 已提交
415
          isAfter(io.forward(j).uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) &&
L
LinJiawei 已提交
416
          io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.forward(j).paddr(PAddrBits - 1, 3) &&
417
          (io.storeIn(i).bits.mask & io.forward(j).mask).orR
418
      }))
419 420 421
      val l1Violation = l1ViolationVec.asUInt().orR()
      val l1ViolationUop = getOldestInTwo(l1ViolationVec, io.forward.map(_.uop))
      XSDebug(l1Violation, p"${Binary(Cat(l1ViolationVec))}, $l1ViolationUop\n")
422

423 424
      val rollbackValidVec = Seq(lqViolation, wbViolation, l1Violation)
      val rollbackUopVec = Seq(lqViolationUop, wbViolationUop, l1ViolationUop)
425 426 427 428 429 430 431
      rollback(i).valid := Cat(rollbackValidVec).orR
      val mask = getAfterMask(rollbackValidVec, rollbackUopVec)
      val oneAfterZero = mask(1)(0)
      val rollbackUop = Mux(oneAfterZero && mask(2)(0),
        rollbackUopVec(0),
        Mux(!oneAfterZero && mask(2)(1), rollbackUopVec(1), rollbackUopVec(2)))
      rollback(i).bits.roqIdx := rollbackUop.roqIdx - 1.U
W
William Wang 已提交
432

433 434 435
      rollback(i).bits.isReplay := true.B
      rollback(i).bits.isMisPred := false.B
      rollback(i).bits.isException := false.B
436
      rollback(i).bits.isFlushPipe := false.B
L
LinJiawei 已提交
437

438 439 440 441 442
      XSDebug(
        l1Violation,
        "need rollback (l4 load) pc %x roqidx %d target %x\n",
        io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, l1ViolationUop.roqIdx.asUInt
      )
L
LinJiawei 已提交
443
      XSDebug(
W
William Wang 已提交
444
        lqViolation,
Y
Yinan Xu 已提交
445
        "need rollback (ld wb before store) pc %x roqidx %d target %x\n",
446
        io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, lqViolationUop.roqIdx.asUInt
L
LinJiawei 已提交
447 448 449
      )
      XSDebug(
        wbViolation,
Y
Yinan Xu 已提交
450
        "need rollback (ld/st wb together) pc %x roqidx %d target %x\n",
Y
Yinan Xu 已提交
451
        io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, wbViolationUop.roqIdx.asUInt
L
LinJiawei 已提交
452
      )
453
    }.otherwise {
L
LinJiawei 已提交
454
      rollback(i).valid := false.B
455
    }
W
William Wang 已提交
456 457
  })

L
LinJiawei 已提交
458 459 460 461 462
  def rollbackSel(a: Valid[Redirect], b: Valid[Redirect]): ValidIO[Redirect] = {
    Mux(
      a.valid,
      Mux(
        b.valid,
Y
Yinan Xu 已提交
463
        Mux(isAfter(a.bits.roqIdx, b.bits.roqIdx), b, a), // a,b both valid, sel oldest
L
LinJiawei 已提交
464 465 466 467 468 469 470
        a // sel a
      ),
      b // sel b
    )
  }

  io.rollback := ParallelOperation(rollback, rollbackSel)
W
William Wang 已提交
471

W
William Wang 已提交
472 473
  // Memory mapped IO / other uncached operations

474
  // setup misc mem access req
W
William Wang 已提交
475
  // mask / paddr / data can be get from lq.data
L
linjiawei 已提交
476
  val commitType = io.commits(0).bits.uop.ctrl.commitType 
W
William Wang 已提交
477
  io.uncache.req.valid := pending(ringBufferTail) && allocated(ringBufferTail) &&
478
    commitType === CommitType.LOAD && 
L
LinJiawei 已提交
479
    io.roqDeqPtr === uop(ringBufferTail).roqIdx && 
480 481
    !io.commits(0).bits.isWalk

482
  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XRD
W
William Wang 已提交
483 484 485
  io.uncache.req.bits.addr := dataModule.io.rdata(ringBufferTail).paddr 
  io.uncache.req.bits.data := dataModule.io.rdata(ringBufferTail).data
  io.uncache.req.bits.mask := dataModule.io.rdata(ringBufferTail).mask
486

487
  io.uncache.req.bits.meta.id       := DontCare // TODO: // FIXME
488
  io.uncache.req.bits.meta.vaddr    := DontCare
W
William Wang 已提交
489
  io.uncache.req.bits.meta.paddr    := dataModule.io.rdata(ringBufferTail).paddr
490
  io.uncache.req.bits.meta.uop      := uop(ringBufferTail)
W
William Wang 已提交
491
  io.uncache.req.bits.meta.mmio     := true.B // dataModule.io.rdata(ringBufferTail).mmio
492
  io.uncache.req.bits.meta.tlb_miss := false.B
W
William Wang 已提交
493
  io.uncache.req.bits.meta.mask     := dataModule.io.rdata(ringBufferTail).mask
494 495 496 497 498
  io.uncache.req.bits.meta.replay   := false.B

  io.uncache.resp.ready := true.B

  when(io.uncache.req.fire()){
499 500
    pending(ringBufferTail) := false.B
  }
W
William Wang 已提交
501

W
William Wang 已提交
502
  dataModule.io.uncache.wen := false.B
503
  when(io.uncache.resp.fire()){
504
    valid(ringBufferTail) := true.B
W
William Wang 已提交
505 506
    dataModule.io.uncacheWrite(ringBufferTail, io.uncache.resp.bits.data(XLEN-1, 0))
    dataModule.io.uncache.wen := true.B
507 508
    // TODO: write back exception info
  }
W
William Wang 已提交
509

510
  when(io.uncache.req.fire()){
L
linjiawei 已提交
511 512 513 514 515 516 517
    XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n",
      uop(ringBufferTail).cf.pc,
      io.uncache.req.bits.addr,
      io.uncache.req.bits.data,
      io.uncache.req.bits.cmd,
      io.uncache.req.bits.mask
    )
518 519 520 521 522 523
  }

  when(io.uncache.resp.fire()){
    XSDebug("uncache resp: data %x\n", io.dcache.resp.bits.data) 
  }

W
William Wang 已提交
524
  // Read vaddr for mem exception
W
William Wang 已提交
525
  io.exceptionAddr.vaddr := dataModule.io.rdata(io.exceptionAddr.lsIdx.lqIdx.value).vaddr
W
William Wang 已提交
526

W
William Wang 已提交
527
  // misprediction recovery / exception redirect
W
William Wang 已提交
528 529 530
  // invalidate lq term using robIdx
  val needCancel = Wire(Vec(LoadQueueSize, Bool()))
  for (i <- 0 until LoadQueueSize) {
Y
Yinan Xu 已提交
531
    needCancel(i) := uop(i).roqIdx.needFlush(io.brqRedirect) && allocated(i) && !commited(i)
532
    when(needCancel(i)) {
W
William Wang 已提交
533 534 535 536 537
      when(io.brqRedirect.bits.isReplay){
        valid(i) := false.B
        writebacked(i) := false.B
        listening(i) := false.B
        miss(i) := false.B
W
William Wang 已提交
538
        pending(i) := false.B
W
William Wang 已提交
539 540 541 542
      }.otherwise{
        allocated(i) := false.B
      }
    }
543 544 545 546
  }
  when (io.brqRedirect.valid && io.brqRedirect.bits.isMisPred) {
    ringBufferHeadExtended := ringBufferHeadExtended - PopCount(needCancel)
  }
W
William Wang 已提交
547

W
William Wang 已提交
548
  // assert(!io.rollback.valid)
L
LinJiawei 已提交
549
  when(io.rollback.valid) {
Y
Yinan Xu 已提交
550
    XSDebug("Mem rollback: pc %x roqidx %d\n", io.rollback.bits.pc, io.rollback.bits.roqIdx.asUInt)
W
William Wang 已提交
551
  }
W
William Wang 已提交
552 553

  // debug info
554
  XSDebug("head %d:%d tail %d:%d\n", ringBufferHeadExtended.flag, ringBufferHead, ringBufferTailExtended.flag, ringBufferTail)
W
William Wang 已提交
555 556

  def PrintFlag(flag: Bool, name: String): Unit = {
L
LinJiawei 已提交
557
    when(flag) {
W
William Wang 已提交
558
      XSDebug(false, true.B, name)
L
LinJiawei 已提交
559
    }.otherwise {
W
William Wang 已提交
560 561 562 563
      XSDebug(false, true.B, " ")
    }
  }

W
William Wang 已提交
564
  for (i <- 0 until LoadQueueSize) {
L
LinJiawei 已提交
565
    if (i % 4 == 0) XSDebug("")
W
William Wang 已提交
566
    XSDebug(false, true.B, "%x [%x] ", uop(i).cf.pc, dataModule.io.rdata(i).paddr)
W
William Wang 已提交
567
    PrintFlag(allocated(i), "a")
W
William Wang 已提交
568 569
    PrintFlag(allocated(i) && valid(i), "v")
    PrintFlag(allocated(i) && writebacked(i), "w")
570
    PrintFlag(allocated(i) && commited(i), "c")
W
William Wang 已提交
571 572
    PrintFlag(allocated(i) && miss(i), "m")
    PrintFlag(allocated(i) && listening(i), "l")
W
William Wang 已提交
573
    PrintFlag(allocated(i) && pending(i), "p")
W
William Wang 已提交
574
    XSDebug(false, true.B, " ")
575
    if (i % 4 == 3 || i == LoadQueueSize - 1) XSDebug(false, true.B, "\n")
W
William Wang 已提交
576
  }
W
William Wang 已提交
577

W
William Wang 已提交
578
}