LoadUnit.scala 35.8 KB
Newer Older
L
Lemover 已提交
1 2
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
Y
Yinan Xu 已提交
3
* Copyright (c) 2020-2021 Peng Cheng Laboratory
L
Lemover 已提交
4 5 6 7 8 9 10 11 12 13 14 15 16
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

Y
Yinan Xu 已提交
17 18
package xiangshan.mem

19
import chipsalliance.rocketchip.config.Parameters
Y
Yinan Xu 已提交
20 21 22
import chisel3._
import chisel3.util._
import utils._
23
import xiangshan.ExceptionNO._
Y
Yinan Xu 已提交
24
import xiangshan._
L
Lemover 已提交
25
import xiangshan.backend.fu.PMPRespBundle
26
import xiangshan.cache._
27
import xiangshan.cache.mmu.{TlbCmd, TlbReq, TlbRequestIO, TlbResp}
Y
Yinan Xu 已提交
28

29
class LoadToLsqIO(implicit p: Parameters) extends XSBundle {
30
  val loadIn = ValidIO(new LqWriteBundle)
Y
Yinan Xu 已提交
31
  val ldout = Flipped(DecoupledIO(new ExuOutput))
32 33
  val s2_load_data_forwarded = Output(Bool())
  val s3_delayed_load_error = Output(Bool())
34 35
  val s2_dcache_require_replay = Output(Bool())
  val s3_replay_from_fetch = Output(Bool()) // update uop.ctrl.replayInst in load queue in s3
36
  val forward = new PipeLoadForwardQueryIO
W
William Wang 已提交
37
  val loadViolationQuery = new LoadViolationQueryIO
38
  val trigger = Flipped(new LqTriggerIO)
Y
Yinan Xu 已提交
39 40
}

41
class LoadToLoadIO(implicit p: Parameters) extends XSBundle {
Y
Yinan Xu 已提交
42
  // load to load fast path is limited to ld (64 bit) used as vaddr src1 only
43 44 45 46
  val data = UInt(XLEN.W)
  val valid = Bool()
}

47
class LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle {
48 49
  val tdata2 = Input(UInt(64.W))
  val matchType = Input(UInt(2.W))
L
Li Qianruo 已提交
50
  val tEnable = Input(Bool()) // timing is calculated before this
51 52 53 54
  val addrHit = Output(Bool())
  val lastDataHit = Output(Bool())
}

Y
Yinan Xu 已提交
55 56
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
57
class LoadUnit_S0(implicit p: Parameters) extends XSModule with HasDCacheParameters{
Y
Yinan Xu 已提交
58
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
59 60
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
61
    val dtlbReq = DecoupledIO(new TlbReq)
62
    val dcacheReq = DecoupledIO(new DCacheWordReq)
63
    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
64
    val isFirstIssue = Input(Bool())
65 66
    val fastpath = Input(new LoadToLoadIO)
    val s0_kill = Input(Bool())
Y
Yinan Xu 已提交
67
  })
68
  require(LoadPipelineWidth == exuParameters.LduCnt)
Y
Yinan Xu 已提交
69

70 71 72 73
  val imm12 = io.in.bits.uop.ctrl.imm(11, 0)
  val s0_vaddr = WireInit(io.in.bits.src(0) + SignExt(imm12, VAddrBits))
  val s0_mask = WireInit(genWmask(s0_vaddr, io.in.bits.uop.ctrl.fuOpType(1,0)))
  val s0_uop = WireInit(io.in.bits.uop)
74

75
  if (EnableLoadToLoadForward) {
76 77 78 79 80 81 82
    // When there's no valid instruction from RS, we try the load-to-load forwarding.
    when (!io.in.valid) {
      s0_vaddr := io.fastpath.data
      // Assume the pointer chasing is always ld.
      s0_uop.ctrl.fuOpType := LSUOpType.ld
      s0_mask := genWmask(0.U, LSUOpType.ld)
    }
83
  }
Y
Yinan Xu 已提交
84

85 86 87
  val isSoftPrefetch = LSUOpType.isPrefetch(s0_uop.ctrl.fuOpType)
  val isSoftPrefetchRead = s0_uop.ctrl.fuOpType === LSUOpType.prefetch_r
  val isSoftPrefetchWrite = s0_uop.ctrl.fuOpType === LSUOpType.prefetch_w
88

Y
Yinan Xu 已提交
89
  // query DTLB
90
  io.dtlbReq.valid := io.in.valid || io.fastpath.valid
91 92
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
93
  io.dtlbReq.bits.size := LSUOpType.size(s0_uop.ctrl.fuOpType)
94 95
  io.dtlbReq.bits.kill := DontCare
  io.dtlbReq.bits.debug.robIdx := s0_uop.robIdx
96
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
97
  io.dtlbReq.bits.debug.isFirstIssue := io.isFirstIssue
Y
Yinan Xu 已提交
98 99

  // query DCache
100
  io.dcacheReq.valid := io.in.valid || io.fastpath.valid
101 102 103 104 105
  when (isSoftPrefetchRead) {
    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_PFR
  }.elsewhen (isSoftPrefetchWrite) {
    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_PFW
  }.otherwise {
106
    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
107
  }
108 109
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
110
  io.dcacheReq.bits.data := DontCare
111 112 113 114 115
  when(isSoftPrefetch) {
    io.dcacheReq.bits.instrtype := SOFT_PREFETCH.U
  }.otherwise {
    io.dcacheReq.bits.instrtype := LOAD_SOURCE.U
  }
116 117

  // TODO: update cache meta
A
Allen 已提交
118
  io.dcacheReq.bits.id   := DontCare
Y
Yinan Xu 已提交
119 120 121 122 123 124

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
125
  ))
Y
Yinan Xu 已提交
126

127
  io.out.valid := (io.in.valid || io.fastpath.valid) && io.dcacheReq.ready && !io.s0_kill
Y
Yinan Xu 已提交
128

Y
Yinan Xu 已提交
129 130 131 132 133
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
134
  io.out.bits.rsIdx := io.rsIdx
135
  io.out.bits.isFirstIssue := io.isFirstIssue
136
  io.out.bits.isSoftPrefetch := isSoftPrefetch
Y
Yinan Xu 已提交
137

Y
Yinan Xu 已提交
138
  io.in.ready := !io.in.valid || (io.out.ready && io.dcacheReq.ready)
139

140
  XSDebug(io.dcacheReq.fire,
Y
Yinan Xu 已提交
141
    p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.cf.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n"
142
  )
143 144 145
  XSPerfAccumulate("in_valid", io.in.valid)
  XSPerfAccumulate("in_fire", io.in.fire)
  XSPerfAccumulate("in_fire_first_issue", io.in.valid && io.isFirstIssue)
146 147
  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready && io.dcacheReq.ready)
  XSPerfAccumulate("stall_dcache", io.out.valid && io.out.ready && !io.dcacheReq.ready)
148 149 150 151
  XSPerfAccumulate("addr_spec_success", io.out.fire && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12))
  XSPerfAccumulate("addr_spec_failed", io.out.fire && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12))
  XSPerfAccumulate("addr_spec_success_once", io.out.fire && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue)
  XSPerfAccumulate("addr_spec_failed_once", io.out.fire && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue)
Y
Yinan Xu 已提交
152 153 154 155 156
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
157
class LoadUnit_S1(implicit p: Parameters) extends XSModule {
Y
Yinan Xu 已提交
158 159
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
160
    val s1_kill = Input(Bool())
Y
Yinan Xu 已提交
161
    val out = Decoupled(new LsPipelineBundle)
162 163
    val dtlbResp = Flipped(DecoupledIO(new TlbResp(2)))
    val lsuPAddr = Output(UInt(PAddrBits.W))
Y
Yinan Xu 已提交
164
    val dcachePAddr = Output(UInt(PAddrBits.W))
165
    val dcacheKill = Output(Bool())
166
    val dcacheBankConflict = Input(Bool())
167
    val fullForwardFast = Output(Bool())
168
    val sbuffer = new LoadForwardQueryIO
169
    val lsq = new PipeLoadForwardQueryIO
W
William Wang 已提交
170
    val loadViolationQueryReq = Decoupled(new LoadViolationQueryReq)
171
    val rsFeedback = ValidIO(new RSFeedback)
W
William Wang 已提交
172 173
    val csrCtrl = Flipped(new CustomCSRCtrlIO)
    val needLdVioCheckRedo = Output(Bool())
Y
Yinan Xu 已提交
174
  })
175

Y
Yinan Xu 已提交
176
  val s1_uop = io.in.bits.uop
177 178
  val s1_paddr_dup_lsu = io.dtlbResp.bits.paddr(0)
  val s1_paddr_dup_dcache = io.dtlbResp.bits.paddr(1)
179 180
  // af & pf exception were modified below.
  val s1_exception = ExceptionNO.selectByFu(io.out.bits.uop.cf.exceptionVec, lduCfg).asUInt.orR
Y
Yinan Xu 已提交
181
  val s1_tlb_miss = io.dtlbResp.bits.miss
182
  val s1_mask = io.in.bits.mask
183
  val s1_bank_conflict = io.dcacheBankConflict
Y
Yinan Xu 已提交
184

185
  io.out.bits := io.in.bits // forwardXX field will be updated in s1
Y
Yinan Xu 已提交
186 187 188

  io.dtlbResp.ready := true.B

189 190
  io.lsuPAddr := s1_paddr_dup_lsu
  io.dcachePAddr := s1_paddr_dup_dcache
191
  //io.dcacheKill := s1_tlb_miss || s1_exception || s1_mmio
192
  io.dcacheKill := s1_tlb_miss || s1_exception || io.s1_kill
193
  // load forward query datapath
194
  io.sbuffer.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill)
195
  io.sbuffer.vaddr := io.in.bits.vaddr
196
  io.sbuffer.paddr := s1_paddr_dup_lsu
197 198 199 200
  io.sbuffer.uop := s1_uop
  io.sbuffer.sqIdx := s1_uop.sqIdx
  io.sbuffer.mask := s1_mask
  io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it
Y
Yinan Xu 已提交
201

202
  io.lsq.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill)
203
  io.lsq.vaddr := io.in.bits.vaddr
204
  io.lsq.paddr := s1_paddr_dup_lsu
Y
Yinan Xu 已提交
205 206
  io.lsq.uop := s1_uop
  io.lsq.sqIdx := s1_uop.sqIdx
207
  io.lsq.sqIdxMask := DontCare // will be overwritten by sqIdxMask pre-generated in s0
Y
Yinan Xu 已提交
208 209
  io.lsq.mask := s1_mask
  io.lsq.pc := s1_uop.cf.pc // FIXME: remove it
210

W
William Wang 已提交
211
  // ld-ld violation query
212
  io.loadViolationQueryReq.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill)
213
  io.loadViolationQueryReq.bits.paddr := s1_paddr_dup_lsu
W
William Wang 已提交
214 215
  io.loadViolationQueryReq.bits.uop := s1_uop

216 217
  // Generate forwardMaskFast to wake up insts earlier
  val forwardMaskFast = io.lsq.forwardMaskFast.asUInt | io.sbuffer.forwardMaskFast.asUInt
218
  io.fullForwardFast := ((~forwardMaskFast).asUInt & s1_mask) === 0.U
219

W
William Wang 已提交
220 221 222 223 224
  // Generate feedback signal caused by:
  // * dcache bank conflict
  // * need redo ld-ld violation check
  val needLdVioCheckRedo = io.loadViolationQueryReq.valid &&
    !io.loadViolationQueryReq.ready &&
L
Li Qianruo 已提交
225
    RegNext(io.csrCtrl.ldld_vio_check_enable)
W
William Wang 已提交
226
  io.needLdVioCheckRedo := needLdVioCheckRedo
227
  io.rsFeedback.valid := io.in.valid && (s1_bank_conflict || needLdVioCheckRedo) && !io.s1_kill
W
William Wang 已提交
228
  io.rsFeedback.bits.hit := false.B // we have found s1_bank_conflict / re do ld-ld violation check
229 230
  io.rsFeedback.bits.rsIdx := io.in.bits.rsIdx
  io.rsFeedback.bits.flushState := io.in.bits.ptwBack
W
William Wang 已提交
231
  io.rsFeedback.bits.sourceType := Mux(s1_bank_conflict, RSFeedbackType.bankConflict, RSFeedbackType.ldVioCheckRedo)
232
  io.rsFeedback.bits.dataInvalidSqIdx := DontCare
233

234 235
  // if replay is detected in load_s1,
  // load inst will be canceled immediately
236
  io.out.valid := io.in.valid && !io.rsFeedback.valid && !io.s1_kill
237
  io.out.bits.paddr := s1_paddr_dup_lsu
238
  io.out.bits.tlbMiss := s1_tlb_miss
239

240
  // current ori test will cause the case of ldest == 0, below will be modifeid in the future.
241
  // af & pf exception were modified
242 243
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp(0).pf.ld
  io.out.bits.uop.cf.exceptionVec(loadAccessFault) := io.dtlbResp.bits.excp(0).af.ld
244

245
  io.out.bits.ptwBack := io.dtlbResp.bits.ptwBack
246
  io.out.bits.rsIdx := io.in.bits.rsIdx
Y
Yinan Xu 已提交
247

248
  io.out.bits.isSoftPrefetch := io.in.bits.isSoftPrefetch
249

Y
Yinan Xu 已提交
250
  io.in.ready := !io.in.valid || io.out.ready
251

252 253 254 255 256
  XSPerfAccumulate("in_valid", io.in.valid)
  XSPerfAccumulate("in_fire", io.in.fire)
  XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue)
  XSPerfAccumulate("tlb_miss", io.in.fire && s1_tlb_miss)
  XSPerfAccumulate("tlb_miss_first_issue", io.in.fire && s1_tlb_miss && io.in.bits.isFirstIssue)
257
  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready)
Y
Yinan Xu 已提交
258
}
259

Y
Yinan Xu 已提交
260 261
// Load Pipeline Stage 2
// DCache resp
262
class LoadUnit_S2(implicit p: Parameters) extends XSModule with HasLoadHelper {
Y
Yinan Xu 已提交
263 264 265
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
266
    val rsFeedback = ValidIO(new RSFeedback)
267
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
268
    val pmpResp = Flipped(new PMPRespBundle())
269
    val lsq = new LoadForwardQueryIO
270
    val dataInvalidSqIdx = Input(UInt())
271
    val sbuffer = new LoadForwardQueryIO
272
    val dataForwarded = Output(Bool())
273
    val s2_dcache_require_replay = Output(Bool())
274
    val fullForward = Output(Bool())
275
    val fastpath = Output(new LoadToLoadIO)
L
Lemover 已提交
276
    val dcache_kill = Output(Bool())
277
    val s3_delayed_load_error = Output(Bool())
W
William Wang 已提交
278 279
    val loadViolationQueryResp = Flipped(Valid(new LoadViolationQueryResp))
    val csrCtrl = Flipped(new CustomCSRCtrlIO)
280
    val sentFastUop = Input(Bool())
L
Li Qianruo 已提交
281
    val static_pm = Input(Valid(Bool())) // valid for static, bits for mmio
282
    val s2_can_replay_from_fetch = Output(Bool()) // dirty code
Y
Yinan Xu 已提交
283
  })
L
Li Qianruo 已提交
284 285 286 287 288 289 290

  val pmp = WireInit(io.pmpResp)
  when (io.static_pm.valid) {
    pmp.ld := false.B
    pmp.st := false.B
    pmp.instr := false.B
    pmp.mmio := io.static_pm.bits
291
  }
L
Lemover 已提交
292

L
Li Qianruo 已提交
293 294 295 296 297
  val s2_is_prefetch = io.in.bits.isSoftPrefetch

  // exception that may cause load addr to be invalid / illegal
  //
  // if such exception happen, that inst and its exception info
298
  // will be force writebacked to rob
L
Li Qianruo 已提交
299 300 301 302 303
  val s2_exception_vec = WireInit(io.in.bits.uop.cf.exceptionVec)
  s2_exception_vec(loadAccessFault) := io.in.bits.uop.cf.exceptionVec(loadAccessFault) || pmp.ld
  // soft prefetch will not trigger any exception (but ecc error interrupt may be triggered)
  when (s2_is_prefetch) {
    s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType)
304
  }
L
Li Qianruo 已提交
305 306
  val s2_exception = ExceptionNO.selectByFu(s2_exception_vec, lduCfg).asUInt.orR

307
  // writeback access fault caused by ecc error / bus error
L
Li Qianruo 已提交
308
  //
309
  // * ecc data error is slow to generate, so we will not use it until load stage 3
310
  // * in load stage 3, an extra signal io.load_error will be used to
311

L
Li Qianruo 已提交
312 313 314
  // now cache ecc error will raise an access fault
  // at the same time, error info (including error paddr) will be write to
  // an customized CSR "CACHE_ERROR"
315
  if (EnableAccurateLoadError) {
316
    io.s3_delayed_load_error := io.dcacheResp.bits.error_delayed &&
317
      io.csrCtrl.cache_error_enable &&
318 319
      RegNext(io.out.valid)
  } else {
320
    io.s3_delayed_load_error := false.B
321
  }
L
Li Qianruo 已提交
322 323

  val actually_mmio = pmp.mmio
Y
Yinan Xu 已提交
324 325 326
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
327
  val s2_tlb_miss = io.in.bits.tlbMiss
L
Li Qianruo 已提交
328
  val s2_mmio = !s2_is_prefetch && actually_mmio && !s2_exception
329
  val s2_cache_miss = io.dcacheResp.bits.miss
330
  val s2_cache_replay = io.dcacheResp.bits.replay
331
  val s2_cache_tag_error = io.dcacheResp.bits.tag_error
332
  val s2_forward_fail = io.lsq.matchInvalid || io.sbuffer.matchInvalid
333 334 335
  val s2_ldld_violation = io.loadViolationQueryResp.valid &&
    io.loadViolationQueryResp.bits.have_violation &&
    RegNext(io.csrCtrl.ldld_vio_check_enable)
336
  val s2_data_invalid = io.lsq.dataInvalid && !s2_ldld_violation && !s2_exception
337 338

  io.dcache_kill := pmp.ld || pmp.mmio // move pmp resp kill to outside
339
  io.dcacheResp.ready := true.B
340 341
  val dcacheShouldResp = !(s2_tlb_miss || s2_exception || s2_mmio || s2_is_prefetch)
  assert(!(io.in.valid && (dcacheShouldResp && !io.dcacheResp.valid)), "DCache response got lost")
Y
Yinan Xu 已提交
342

343 344 345 346
  // merge forward result
  // lsq has higher priority than sbuffer
  val forwardMask = Wire(Vec(8, Bool()))
  val forwardData = Wire(Vec(8, UInt(8.W)))
347

348
  val fullForward = ((~forwardMask.asUInt).asUInt & s2_mask) === 0.U && !io.lsq.dataInvalid
349 350
  io.lsq := DontCare
  io.sbuffer := DontCare
351
  io.fullForward := fullForward
352 353 354 355 356 357

  // generate XLEN/8 Muxs
  for (i <- 0 until XLEN / 8) {
    forwardMask(i) := io.lsq.forwardMask(i) || io.sbuffer.forwardMask(i)
    forwardData(i) := Mux(io.lsq.forwardMask(i), io.lsq.forwardData(i), io.sbuffer.forwardData(i))
  }
Y
Yinan Xu 已提交
358

359
  XSDebug(io.out.fire, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
360 361 362 363 364
    s2_uop.cf.pc,
    io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt,
    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt
  )

Y
Yinan Xu 已提交
365
  // data merge
366 367 368
  val rdataVec = VecInit((0 until XLEN / 8).map(j =>
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j))))
  val rdata = rdataVec.asUInt
Y
Yinan Xu 已提交
369
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
370 371 372 373 374 375 376 377 378
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
L
LinJiawei 已提交
379
  val rdataPartialLoad = rdataHelper(s2_uop, rdataSel)
Y
Yinan Xu 已提交
380

381
  io.out.valid := io.in.valid && !s2_tlb_miss && !s2_data_invalid
Y
Yinan Xu 已提交
382
  // Inst will be canceled in store queue / lsq,
383
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
384 385
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
Y
Yinan Xu 已提交
386
  // when exception occurs, set it to not miss and let it write back to rob (via int port)
387
  if (EnableFastForward) {
388 389
    io.out.bits.miss := s2_cache_miss &&
      !s2_exception &&
390 391
      !fullForward &&
      !s2_is_prefetch
392
  } else {
393 394 395
    io.out.bits.miss := s2_cache_miss &&
      !s2_exception &&
      !s2_is_prefetch
396
  }
397
  io.out.bits.uop.ctrl.fpWen := io.in.bits.uop.ctrl.fpWen && !s2_exception
398
  io.s2_can_replay_from_fetch := !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
W
William Wang 已提交
399
  // if forward fail, replay this inst from fetch
400
  val debug_forwardFailReplay = s2_forward_fail && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
W
William Wang 已提交
401
  // if ld-ld violation is detected, replay from this inst from fetch
402 403 404
  val debug_ldldVioReplay = s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
  // io.out.bits.uop.ctrl.replayInst := false.B

405
  io.out.bits.mmio := s2_mmio
406
  io.out.bits.uop.ctrl.flushPipe := s2_mmio && io.sentFastUop
407
  io.out.bits.uop.cf.exceptionVec := s2_exception_vec // cache error not included
Y
Yinan Xu 已提交
408

409
  // For timing reasons, sometimes we can not let
410
  // io.out.bits.miss := s2_cache_miss && !s2_exception && !fullForward
411 412 413
  // We use io.dataForwarded instead. It means:
  // 1. Forward logic have prepared all data needed,
  //    and dcache query is no longer needed.
414
  // 2. ... or data cache tag error is detected, this kind of inst
415 416
  //    will not update miss queue. That is to say, if miss, that inst
  //    may not be refilled
417
  // Such inst will be writebacked from load queue.
418
  io.dataForwarded := s2_cache_miss && !s2_exception &&
419
    (fullForward || io.csrCtrl.cache_error_enable && s2_cache_tag_error)
420
  // io.out.bits.forwardX will be send to lq
421
  io.out.bits.forwardMask := forwardMask
422 423
  // data retbrived from dcache is also included in io.out.bits.forwardData
  io.out.bits.forwardData := rdataVec
424

Y
Yinan Xu 已提交
425 426
  io.in.ready := io.out.ready || !io.in.valid

427 428
  // feedback tlb result to RS
  io.rsFeedback.valid := io.in.valid
429
  val s2_need_replay_from_rs = Wire(Bool())
L
Li Qianruo 已提交
430
  if (EnableFastForward) {
431 432
    s2_need_replay_from_rs :=
      s2_tlb_miss || // replay if dtlb miss
433 434
      s2_cache_replay && !s2_is_prefetch && !s2_mmio && !s2_exception && !fullForward || // replay if dcache miss queue full / busy
      s2_data_invalid && !s2_is_prefetch // replay if store to load forward data is not ready
L
Li Qianruo 已提交
435
  } else {
436 437
    // Note that if all parts of data are available in sq / sbuffer, replay required by dcache will not be scheduled
    s2_need_replay_from_rs :=
438
      s2_tlb_miss || // replay if dtlb miss
439 440
      s2_cache_replay && !s2_is_prefetch && !s2_mmio && !s2_exception && !io.dataForwarded || // replay if dcache miss queue full / busy
      s2_data_invalid && !s2_is_prefetch // replay if store to load forward data is not ready
441
  }
442
  io.rsFeedback.bits.hit := !s2_need_replay_from_rs
443 444
  io.rsFeedback.bits.rsIdx := io.in.bits.rsIdx
  io.rsFeedback.bits.flushState := io.in.bits.ptwBack
445 446
  // feedback source priority: tlbMiss > dataInvalid > mshrFull
  // general case priority: tlbMiss > exception (include forward_fail / ldld_violation) > mmio > dataInvalid > mshrFull > normal miss / hit
447
  io.rsFeedback.bits.sourceType := Mux(s2_tlb_miss, RSFeedbackType.tlbMiss,
448 449 450
    Mux(s2_data_invalid,
      RSFeedbackType.dataInvalid,
      RSFeedbackType.mshrFull
451 452
    )
  )
453 454
  io.rsFeedback.bits.dataInvalidSqIdx.value := io.dataInvalidSqIdx
  io.rsFeedback.bits.dataInvalidSqIdx.flag := DontCare
455 456

  // s2_cache_replay is quite slow to generate, send it separately to LQ
W
William Wang 已提交
457
  if (EnableFastForward) {
458
    io.s2_dcache_require_replay := s2_cache_replay && !fullForward
W
William Wang 已提交
459
  } else {
460
    io.s2_dcache_require_replay := s2_cache_replay &&
461
      !io.rsFeedback.bits.hit &&
462 463 464
      !io.dataForwarded &&
      !s2_is_prefetch &&
      io.out.bits.miss
W
William Wang 已提交
465
  }
466

467
  // fast load to load forward
468 469
  io.fastpath.valid := RegNext(io.out.valid) // for debug only
  io.fastpath.data := RegNext(io.out.bits.data)
470

471

472
  XSDebug(io.out.fire, "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n",
473
    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
474
    forwardData.asUInt, forwardMask.asUInt
Y
Yinan Xu 已提交
475
  )
Y
Yinan Xu 已提交
476

477 478 479 480 481
  XSPerfAccumulate("in_valid", io.in.valid)
  XSPerfAccumulate("in_fire", io.in.fire)
  XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue)
  XSPerfAccumulate("dcache_miss", io.in.fire && s2_cache_miss)
  XSPerfAccumulate("dcache_miss_first_issue", io.in.fire && s2_cache_miss && io.in.bits.isFirstIssue)
482 483
  XSPerfAccumulate("full_forward", io.in.valid && fullForward)
  XSPerfAccumulate("dcache_miss_full_forward", io.in.valid && s2_cache_miss && fullForward)
484 485 486
  XSPerfAccumulate("replay",  io.rsFeedback.valid && !io.rsFeedback.bits.hit)
  XSPerfAccumulate("replay_tlb_miss", io.rsFeedback.valid && !io.rsFeedback.bits.hit && s2_tlb_miss)
  XSPerfAccumulate("replay_cache", io.rsFeedback.valid && !io.rsFeedback.bits.hit && !s2_tlb_miss && s2_cache_replay)
487
  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready)
488 489
  XSPerfAccumulate("replay_from_fetch_forward", io.out.valid && debug_forwardFailReplay)
  XSPerfAccumulate("replay_from_fetch_load_vio", io.out.valid && debug_ldldVioReplay)
Y
Yinan Xu 已提交
490
}
Y
Yinan Xu 已提交
491

492
class LoadUnit(implicit p: Parameters) extends XSModule
493 494 495 496
  with HasLoadHelper
  with HasPerfEvents
  with HasDCacheParameters
{
Y
Yinan Xu 已提交
497 498 499 500
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
    val redirect = Flipped(ValidIO(new Redirect))
501 502
    val feedbackSlow = ValidIO(new RSFeedback)
    val feedbackFast = ValidIO(new RSFeedback)
503
    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
504
    val isFirstIssue = Input(Bool())
505
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
506
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
507
    val lsq = new LoadToLsqIO
508
    val refill = Flipped(ValidIO(new Refill))
509
    val fastUop = ValidIO(new MicroOp) // early wakeup signal generated in load_s1, send to RS in load_s2
510
    val trigger = Vec(3, new LoadUnitTriggerIO)
511

512
    val tlb = new TlbRequestIO(2)
513
    val pmp = Flipped(new PMPRespBundle()) // arrive same to tlb now
L
Lemover 已提交
514

515
    val fastpathOut = Output(new LoadToLoadIO)
516 517
    val fastpathIn = Input(new LoadToLoadIO)
    val loadFastMatch = Input(Bool())
518
    val loadFastImm = Input(UInt(12.W))
W
William Wang 已提交
519

520 521
    val s3_delayed_load_error = Output(Bool()) // load ecc error
    // Note that io.s3_delayed_load_error and io.lsq.s3_delayed_load_error is different
522

W
William Wang 已提交
523
    val csrCtrl = Flipped(new CustomCSRCtrlIO)
Y
Yinan Xu 已提交
524 525 526 527 528 529
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)

530
  // load s0
Y
Yinan Xu 已提交
531
  load_s0.io.in <> io.ldin
532
  load_s0.io.dtlbReq <> io.tlb.req
533
  load_s0.io.dcacheReq <> io.dcache.req
534
  load_s0.io.rsIdx := io.rsIdx
535
  load_s0.io.isFirstIssue := io.isFirstIssue
536
  load_s0.io.fastpath := io.fastpathIn
537 538
  load_s0.io.s0_kill := false.B
  val s0_tryPointerChasing = !io.ldin.valid && io.fastpathIn.valid
539
  val s0_pointerChasingVAddr = io.fastpathIn.data(5, 0) +& io.loadFastImm(5, 0)
Y
Yinan Xu 已提交
540

541 542
  val s1_data = PipelineConnect(load_s0.io.out, load_s1.io.in, true.B,
    load_s0.io.out.bits.uop.robIdx.needFlush(io.redirect) && !s0_tryPointerChasing).get
Y
Yinan Xu 已提交
543

544
  // load s1
545
  load_s1.io.dtlbResp <> io.tlb.resp
546 547
  io.dcache.s1_paddr_dup_lsu <> load_s1.io.lsuPAddr
  io.dcache.s1_paddr_dup_dcache <> load_s1.io.dcachePAddr
548
  io.dcache.s1_kill := load_s1.io.dcacheKill
Y
Yinan Xu 已提交
549 550
  load_s1.io.sbuffer <> io.sbuffer
  load_s1.io.lsq <> io.lsq.forward
W
William Wang 已提交
551
  load_s1.io.loadViolationQueryReq <> io.lsq.loadViolationQuery.req
552
  load_s1.io.dcacheBankConflict <> io.dcache.s1_bank_conflict
W
William Wang 已提交
553
  load_s1.io.csrCtrl <> io.csrCtrl
Y
Yinan Xu 已提交
554

555 556 557
  val s0_doTryPointerChasing = s0_tryPointerChasing && load_s0.io.in.ready && load_s0.io.dcacheReq.ready
  val s1_tryPointerChasing = RegNext(s0_doTryPointerChasing, false.B)
  val s1_pointerChasingVAddr = RegEnable(s0_pointerChasingVAddr, s0_doTryPointerChasing)
558 559 560 561 562
  val cancelPointerChasing = WireInit(false.B)
  if (EnableLoadToLoadForward) {
    // Sometimes, we need to cancel the load-load forwarding.
    // These can be put at S0 if timing is bad at S1.
    // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow)
563
    val addressMisMatch = s1_pointerChasingVAddr(6) || RegEnable(io.loadFastImm(11, 6).orR, s0_doTryPointerChasing)
564
    // Case 1: the address is not 64-bit aligned or the fuOpType is not LD
565
    val addressNotAligned = s1_pointerChasingVAddr(2, 0).orR
566 567 568 569 570 571 572 573
    val fuOpTypeIsNotLd = io.ldin.bits.uop.ctrl.fuOpType =/= LSUOpType.ld
    // Case 2: this is not a valid load-load pair
    val notFastMatch = RegEnable(!io.loadFastMatch, s0_tryPointerChasing)
    // Case 3: this load-load uop is cancelled
    val isCancelled = !io.ldin.valid
    when (s1_tryPointerChasing) {
      cancelPointerChasing := addressMisMatch || addressNotAligned || fuOpTypeIsNotLd || notFastMatch || isCancelled
      load_s1.io.in.bits.uop := io.ldin.bits.uop
574
      val spec_vaddr = s1_data.vaddr
575
      val vaddr = Cat(spec_vaddr(VAddrBits - 1, 6), s1_pointerChasingVAddr(5, 3), 0.U(3.W))
576
      load_s1.io.in.bits.vaddr := vaddr
577 578 579
      load_s1.io.in.bits.rsIdx := io.rsIdx
      load_s1.io.in.bits.isFirstIssue := io.isFirstIssue
      // We need to replace vaddr(5, 3).
580 581 582 583
      for (d <- 0 until 2) {
        val spec_paddr = io.tlb.resp.bits.paddr(d)
        load_s1.io.dtlbResp.bits.paddr(d) := Cat(spec_paddr(PAddrBits - 1, 6), s1_pointerChasingVAddr(5, 3), 0.U(3.W))
      }
584 585 586 587 588 589 590 591 592 593 594 595 596 597 598 599 600 601 602 603 604 605 606 607
    }
    when (cancelPointerChasing) {
      load_s1.io.s1_kill := true.B
    }.otherwise {
      load_s0.io.s0_kill := s1_tryPointerChasing
      when (s1_tryPointerChasing) {
        io.ldin.ready := true.B
      }
    }

    XSPerfAccumulate("load_to_load_forward", s1_tryPointerChasing && !cancelPointerChasing)
    XSPerfAccumulate("load_to_load_forward_try", s1_tryPointerChasing)
    XSPerfAccumulate("load_to_load_forward_fail", cancelPointerChasing)
    XSPerfAccumulate("load_to_load_forward_fail_cancelled", cancelPointerChasing && isCancelled)
    XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", cancelPointerChasing && !isCancelled && notFastMatch)
    XSPerfAccumulate("load_to_load_forward_fail_op_not_ld",
      cancelPointerChasing && !isCancelled && !notFastMatch && fuOpTypeIsNotLd)
    XSPerfAccumulate("load_to_load_forward_fail_addr_align",
      cancelPointerChasing && !isCancelled && !notFastMatch && !fuOpTypeIsNotLd && addressNotAligned)
    XSPerfAccumulate("load_to_load_forward_fail_set_mismatch",
      cancelPointerChasing && !isCancelled && !notFastMatch && !fuOpTypeIsNotLd && !addressNotAligned && addressMisMatch)
  }
  PipelineConnect(load_s1.io.out, load_s2.io.in, true.B,
    load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect) || cancelPointerChasing)
Y
Yinan Xu 已提交
608

609
  // load s2
L
Li Qianruo 已提交
610
  io.dcache.s2_kill := load_s2.io.dcache_kill // to kill mmio resp which are redirected
611
  load_s2.io.dcacheResp <> io.dcache.resp
L
Lemover 已提交
612
  load_s2.io.pmpResp <> io.pmp
L
Li Qianruo 已提交
613
  load_s2.io.static_pm := RegNext(io.tlb.resp.bits.static_pm)
Y
Yinan Xu 已提交
614 615
  load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData
  load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask
616
  load_s2.io.lsq.forwardMaskFast <> io.lsq.forward.forwardMaskFast // should not be used in load_s2
617
  load_s2.io.lsq.dataInvalid <> io.lsq.forward.dataInvalid
618
  load_s2.io.lsq.matchInvalid <> io.lsq.forward.matchInvalid
619 620
  load_s2.io.sbuffer.forwardData <> io.sbuffer.forwardData
  load_s2.io.sbuffer.forwardMask <> io.sbuffer.forwardMask
621
  load_s2.io.sbuffer.forwardMaskFast <> io.sbuffer.forwardMaskFast // should not be used in load_s2
622
  load_s2.io.sbuffer.dataInvalid <> io.sbuffer.dataInvalid // always false
623
  load_s2.io.sbuffer.matchInvalid <> io.sbuffer.matchInvalid
624
  load_s2.io.dataForwarded <> io.lsq.s2_load_data_forwarded
625
  load_s2.io.fastpath <> io.fastpathOut
626
  load_s2.io.dataInvalidSqIdx := io.lsq.forward.dataInvalidSqIdx // provide dataInvalidSqIdx to make wakeup faster
W
William Wang 已提交
627 628
  load_s2.io.loadViolationQueryResp <> io.lsq.loadViolationQuery.resp
  load_s2.io.csrCtrl <> io.csrCtrl
629
  load_s2.io.sentFastUop := io.fastUop.valid
630

631 632 633
  // feedback bank conflict / ld-vio check struct hazard to rs
  io.feedbackFast.bits := RegNext(load_s1.io.rsFeedback.bits)
  io.feedbackFast.valid := RegNext(load_s1.io.rsFeedback.valid && !load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect))
634

635 636
  // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding
  val sqIdxMaskReg = RegNext(UIntToMask(load_s0.io.in.bits.uop.sqIdx.value, StoreQueueSize))
637 638 639
  // to enable load-load, sqIdxMask must be calculated based on ldin.uop
  // If the timing here is not OK, load-load forwarding has to be disabled.
  // Or we calculate sqIdxMask at RS??
640
  io.lsq.forward.sqIdxMask := sqIdxMaskReg
641 642 643 644 645
  if (EnableLoadToLoadForward) {
    when (s1_tryPointerChasing) {
      io.lsq.forward.sqIdxMask := UIntToMask(io.ldin.bits.uop.sqIdx.value, StoreQueueSize)
    }
  }
Y
Yinan Xu 已提交
646

647 648 649 650
  // // use s2_hit_way to select data received in s1
  // load_s2.io.dcacheResp.bits.data := Mux1H(RegNext(io.dcache.s1_hit_way), RegNext(io.dcache.s1_data))
  // assert(load_s2.io.dcacheResp.bits.data === io.dcache.resp.bits.data)

651
  // now io.fastUop.valid is sent to RS in load_s2
652 653
  val s2_dcache_hit = io.dcache.s2_hit // dcache hit dup in lsu side

654
  io.fastUop.valid := RegNext(
655 656 657 658 659 660 661 662 663 664
      !io.dcache.s1_disable_fast_wakeup &&  // load fast wakeup should be disabled when dcache data read is not ready
      load_s1.io.in.valid && // valid load request
      !load_s1.io.s1_kill && // killed by load-load forwarding
      !load_s1.io.dtlbResp.bits.fast_miss && // not mmio or tlb miss, pf / af not included here
      !io.lsq.forward.dataInvalidFast // forward failed
    ) && 
    !RegNext(load_s1.io.needLdVioCheckRedo) && // load-load violation check: load paddr cam struct hazard
    !RegNext(load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect)) &&
    s2_dcache_hit // dcache hit in lsu side
  
665
  io.fastUop.bits := RegNext(load_s1.io.out.bits.uop)
666

Y
Yinan Xu 已提交
667
  XSDebug(load_s0.io.out.valid,
668
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
Y
Yinan Xu 已提交
669
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
Y
Yinan Xu 已提交
670
  XSDebug(load_s1.io.out.valid,
671
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " +
672
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
673

Y
Yinan Xu 已提交
674
  // writeback to LSQ
Y
Yinan Xu 已提交
675
  // Current dcache use MSHR
676
  // Load queue will be updated at s2 for both hit/miss int/fp load
Y
Yinan Xu 已提交
677
  io.lsq.loadIn.valid := load_s2.io.out.valid
678 679
  // generate LqWriteBundle from LsPipelineBundle
  io.lsq.loadIn.bits.fromLsPipelineBundle(load_s2.io.out.bits)
680 681 682 683 684 685 686 687
  // generate duplicated load queue data wen
  val load_s2_valid_vec = RegInit(0.U(6.W))
  val load_s2_leftFire = load_s1.io.out.valid && load_s2.io.in.ready
  load_s2_valid_vec := 0x0.U(6.W)
  when (load_s2_leftFire) { load_s2_valid_vec := 0x3f.U(6.W)}
  when (load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect)) { load_s2_valid_vec := 0x0.U(6.W) }
  assert(RegNext(load_s2.io.in.valid === load_s2_valid_vec(0)))
  io.lsq.loadIn.bits.lq_data_wen_dup := load_s2_valid_vec.asBools()
688

689 690 691
  // s2_dcache_require_replay signal will be RegNexted, then used in s3
  io.lsq.s2_dcache_require_replay := load_s2.io.s2_dcache_require_replay

692
  // write to rob and writeback bus
693
  val s2_wb_valid = load_s2.io.out.valid && !load_s2.io.out.bits.miss && !load_s2.io.out.bits.mmio
Y
Yinan Xu 已提交
694

695
  // Int load, if hit, will be writebacked at s2
696 697 698 699 700 701 702 703 704
  val hitLoadOut = Wire(Valid(new ExuOutput))
  hitLoadOut.valid := s2_wb_valid
  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
  hitLoadOut.bits.data := load_s2.io.out.bits.data
  hitLoadOut.bits.redirectValid := false.B
  hitLoadOut.bits.redirect := DontCare
  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
  hitLoadOut.bits.debug.isPerfCnt := false.B
  hitLoadOut.bits.debug.paddr := load_s2.io.out.bits.paddr
705
  hitLoadOut.bits.debug.vaddr := load_s2.io.out.bits.vaddr
706
  hitLoadOut.bits.fflags := DontCare
Y
Yinan Xu 已提交
707

Y
Yinan Xu 已提交
708
  load_s2.io.out.ready := true.B
709

710
  // load s3
711 712
  val load_wb_reg = RegNext(Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.ldout.bits))
  io.ldout.bits := load_wb_reg
713
  io.ldout.valid := RegNext(hitLoadOut.valid) && !RegNext(load_s2.io.out.bits.uop.robIdx.needFlush(io.redirect)) ||
714 715
    RegNext(io.lsq.ldout.valid) && !RegNext(io.lsq.ldout.bits.uop.robIdx.needFlush(io.redirect)) && !RegNext(hitLoadOut.valid)

716 717
  io.ldout.bits.uop.cf.exceptionVec(loadAccessFault) := load_wb_reg.uop.cf.exceptionVec(loadAccessFault) ||
    RegNext(hitLoadOut.valid) && load_s2.io.s3_delayed_load_error
718

719 720 721 722 723 724 725 726 727 728 729 730 731 732 733 734 735 736 737 738 739 740 741 742 743
  // feedback tlb miss / dcache miss queue full
  io.feedbackSlow.bits := RegNext(load_s2.io.rsFeedback.bits)
  io.feedbackSlow.valid := RegNext(load_s2.io.rsFeedback.valid && !load_s2.io.out.bits.uop.robIdx.needFlush(io.redirect))
  // If replay is reported at load_s1, inst will be canceled (will not enter load_s2),
  // in that case:
  // * replay should not be reported twice
  assert(!(RegNext(io.feedbackFast.valid) && io.feedbackSlow.valid))
  // * io.fastUop.valid should not be reported
  assert(!RegNext(io.feedbackFast.valid && io.fastUop.valid))

  val s3_forward_fail = RegNext(io.lsq.forward.matchInvalid || io.sbuffer.matchInvalid)
  val s3_ldld_violation = RegNext(
    io.lsq.loadViolationQuery.resp.valid &&
    io.lsq.loadViolationQuery.resp.bits.have_violation &&
    RegNext(io.csrCtrl.ldld_vio_check_enable)
  )
  val s3_need_replay_from_fetch = s3_forward_fail || s3_ldld_violation
  val s3_can_replay_from_fetch = RegEnable(load_s2.io.s2_can_replay_from_fetch, hitLoadOut.valid)
  when (RegNext(hitLoadOut.valid)) {
    io.ldout.bits.uop.ctrl.replayInst := s3_need_replay_from_fetch
  }

  io.lsq.s3_delayed_load_error := load_s2.io.s3_delayed_load_error
  io.lsq.s3_replay_from_fetch := s3_need_replay_from_fetch && s3_can_replay_from_fetch

744 745 746
  // s3_delayed_load_error path is not used for now, as we writeback load result in load_s3
  // but we keep this path for future use
  io.s3_delayed_load_error := false.B
747

748
  io.lsq.ldout.ready := !hitLoadOut.valid
749

750
  when(io.feedbackSlow.valid && !io.feedbackSlow.bits.hit){
751
    // when need replay from rs, inst should not be writebacked to rob
752
    assert(RegNext(!hitLoadOut.valid))
753
    assert(RegNext(!io.lsq.loadIn.valid) || RegNext(load_s2.io.s2_dcache_require_replay))
754 755
  }

756
  val lastValidData = RegEnable(io.ldout.bits.data, io.ldout.fire)
757 758 759 760 761 762
  val hitLoadAddrTriggerHitVec = Wire(Vec(3, Bool()))
  val lqLoadAddrTriggerHitVec = io.lsq.trigger.lqLoadAddrTriggerHitVec
  (0 until 3).map{i => {
    val tdata2 = io.trigger(i).tdata2
    val matchType = io.trigger(i).matchType
    val tEnable = io.trigger(i).tEnable
763

W
William Wang 已提交
764
    hitLoadAddrTriggerHitVec(i) := TriggerCmp(load_s2.io.out.bits.vaddr, tdata2, matchType, tEnable)
765 766 767 768 769
    io.trigger(i).addrHit := Mux(hitLoadOut.valid, hitLoadAddrTriggerHitVec(i), lqLoadAddrTriggerHitVec(i))
    io.trigger(i).lastDataHit := TriggerCmp(lastValidData, tdata2, matchType, tEnable)
  }}
  io.lsq.trigger.hitLoadAddrTriggerHitVec := hitLoadAddrTriggerHitVec

770
  val perfEvents = Seq(
771 772
    ("load_s0_in_fire         ", load_s0.io.in.fire                                                                                                              ),
    ("load_to_load_forward    ", load_s1.io.out.valid && s1_tryPointerChasing && !cancelPointerChasing                                                           ),
773 774 775 776 777 778 779 780 781
    ("stall_dcache            ", load_s0.io.out.valid && load_s0.io.out.ready && !load_s0.io.dcacheReq.ready                                                     ),
    ("load_s1_in_fire         ", load_s1.io.in.fire                                                                                                              ),
    ("load_s1_tlb_miss        ", load_s1.io.in.fire && load_s1.io.dtlbResp.bits.miss                                                                             ),
    ("load_s2_in_fire         ", load_s2.io.in.fire                                                                                                              ),
    ("load_s2_dcache_miss     ", load_s2.io.in.fire && load_s2.io.dcacheResp.bits.miss                                                                           ),
    ("load_s2_replay          ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit                                                                  ),
    ("load_s2_replay_tlb_miss ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit && load_s2.io.in.bits.tlbMiss                                    ),
    ("load_s2_replay_cache    ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit && !load_s2.io.in.bits.tlbMiss && load_s2.io.dcacheResp.bits.miss),
  )
782
  generatePerfEvent()
783

784
  when(io.ldout.fire){
785 786
    XSDebug("ldout %x\n", io.ldout.bits.uop.cf.pc)
  }
787
}