XSCore.scala 17.1 KB
Newer Older
L
LinJiawei 已提交
1 2 3 4
package xiangshan

import chisel3._
import chisel3.util._
L
LinJiawei 已提交
5
import top.Parameters
L
LinJiawei 已提交
6
import xiangshan.backend._
7
import xiangshan.backend.dispatch.DispatchParameters
L
LinJiawei 已提交
8
import xiangshan.backend.exu.ExuParameters
L
LinJiawei 已提交
9
import xiangshan.backend.exu.Exu._
G
GouLingrui 已提交
10
import xiangshan.frontend._
11
import xiangshan.mem._
Y
Yinan Xu 已提交
12
import xiangshan.backend.fu.HasExceptionNO
13
import xiangshan.cache.{DCache,InstrUncache, DCacheParameters, ICache, ICacheParameters, L1plusCache, L1plusCacheParameters, PTW, Uncache, MemoryOpConstants, MissReq}
14
import xiangshan.cache.prefetch._
L
linjiawei 已提交
15
import chipsalliance.rocketchip.config
L
LinJiawei 已提交
16 17 18
import freechips.rocketchip.diplomacy.{AddressSet, LazyModule, LazyModuleImp}
import freechips.rocketchip.tilelink.{TLBuffer, TLBundleParameters, TLCacheCork, TLClientNode, TLFilter, TLIdentityNode, TLToAXI4, TLWidthWidget, TLXbar}
import freechips.rocketchip.devices.tilelink.{DevNullParams, TLError}
L
LinJiawei 已提交
19
import sifive.blocks.inclusivecache.{CacheParameters, InclusiveCache, InclusiveCacheMicroParameters}
L
LinJiawei 已提交
20 21
import freechips.rocketchip.amba.axi4.{AXI4Deinterleaver, AXI4Fragmenter, AXI4IdIndexer, AXI4IdentityNode, AXI4ToTL, AXI4UserYanker}
import freechips.rocketchip.tile.HasFPUParameters
22
import sifive.blocks.inclusivecache.PrefetcherIO
L
LinJiawei 已提交
23
import utils._
L
LinJiawei 已提交
24

25 26 27 28 29 30 31 32
object hartIdCore extends (() => Int) {
  var x = 0
  def apply(): Int = {
    x = x + 1
    x-1
  }
}

L
LinJiawei 已提交
33 34 35 36 37 38 39 40 41 42 43
case class XSCoreParameters
(
  XLEN: Int = 64,
  HasMExtension: Boolean = true,
  HasCExtension: Boolean = true,
  HasDiv: Boolean = true,
  HasICache: Boolean = true,
  HasDCache: Boolean = true,
  EnableStoreQueue: Boolean = true,
  AddrBits: Int = 64,
  VAddrBits: Int = 39,
44
  PAddrBits: Int = 40,
L
LinJiawei 已提交
45
  HasFPU: Boolean = true,
L
LinJiawei 已提交
46 47
  FectchWidth: Int = 8,
  EnableBPU: Boolean = true,
L
Lingrui98 已提交
48
  EnableBPD: Boolean = true,
L
Lingrui98 已提交
49
  EnableRAS: Boolean = true,
L
Lingrui98 已提交
50
  EnableLB: Boolean = false,
Z
zoujr 已提交
51
  EnableLoop: Boolean = true,
L
Lingrui98 已提交
52
  EnableSC: Boolean = false,
L
LinJiawei 已提交
53
  HistoryLength: Int = 64,
54
  BtbSize: Int = 2048,
L
LinJiawei 已提交
55 56 57 58 59 60
  JbtacSize: Int = 1024,
  JbtacBanks: Int = 8,
  RasSize: Int = 16,
  CacheLineSize: Int = 512,
  UBtbWays: Int = 16,
  BtbWays: Int = 2,
S
Steve Gou 已提交
61

62
  EnableL1plusPrefetcher: Boolean = true,
Z
zoujr 已提交
63
  IBufSize: Int = 32,
L
LinJiawei 已提交
64 65 66
  DecodeWidth: Int = 6,
  RenameWidth: Int = 6,
  CommitWidth: Int = 6,
Y
Yinan Xu 已提交
67
  BrqSize: Int = 32,
68
  IssQueSize: Int = 12,
Y
Yinan Xu 已提交
69
  NRPhyRegs: Int = 160,
Z
zoujr 已提交
70
  NRIntReadPorts: Int = 14,
L
LinJiawei 已提交
71 72
  NRIntWritePorts: Int = 8,
  NRFpReadPorts: Int = 14,
Y
Yinan Xu 已提交
73
  NRFpWritePorts: Int = 8,
Y
Yinan Xu 已提交
74 75 76
  LoadQueueSize: Int = 64,
  StoreQueueSize: Int = 48,
  RoqSize: Int = 192,
L
LinJiawei 已提交
77
  dpParams: DispatchParameters = DispatchParameters(
78 79 80
    IntDqSize = 32,
    FpDqSize = 32,
    LsDqSize = 32,
L
LinJiawei 已提交
81 82
    IntDqDeqWidth = 4,
    FpDqDeqWidth = 4,
Y
Yinan Xu 已提交
83
    LsDqDeqWidth = 4
L
LinJiawei 已提交
84 85
  ),
  exuParameters: ExuParameters = ExuParameters(
L
LinJiawei 已提交
86
    JmpCnt = 1,
87
    AluCnt = 4,
L
LinJiawei 已提交
88 89
    MulCnt = 0,
    MduCnt = 2,
90 91
    FmacCnt = 4,
    FmiscCnt = 2,
92
    FmiscDivSqrtCnt = 0,
L
LinJiawei 已提交
93 94 95 96 97 98
    LduCnt = 2,
    StuCnt = 2
  ),
  LoadPipelineWidth: Int = 2,
  StorePipelineWidth: Int = 2,
  StoreBufferSize: Int = 16,
99 100
  RefillSize: Int = 512,
  TlbEntrySize: Int = 32,
101
  TlbSPEntrySize: Int = 4,
102
  TlbL2EntrySize: Int = 256, // or 512
103
  TlbL2SPEntrySize: Int = 16,
104
  PtwL1EntrySize: Int = 16,
105
  PtwL2EntrySize: Int = 256,
W
wangkaifan 已提交
106 107
  NumPerfCounters: Int = 16,
  NrExtIntr: Int = 1
L
LinJiawei 已提交
108
)
L
LinJiawei 已提交
109 110

trait HasXSParameter {
L
LinJiawei 已提交
111 112 113 114

  val core = Parameters.get.coreParameters
  val env = Parameters.get.envParameters

L
LinJiawei 已提交
115 116 117 118
  val XLEN = 64
  val minFLen = 32
  val fLen = 64
  def xLen = 64
L
LinJiawei 已提交
119 120 121 122 123 124 125 126 127
  val HasMExtension = core.HasMExtension
  val HasCExtension = core.HasCExtension
  val HasDiv = core.HasDiv
  val HasIcache = core.HasICache
  val HasDcache = core.HasDCache
  val EnableStoreQueue = core.EnableStoreQueue
  val AddrBits = core.AddrBits // AddrBits is used in some cases
  val VAddrBits = core.VAddrBits // VAddrBits is Virtual Memory addr bits
  val PAddrBits = core.PAddrBits // PAddrBits is Phyical Memory addr bits
L
LinJiawei 已提交
128 129 130
  val AddrBytes = AddrBits / 8 // unused
  val DataBits = XLEN
  val DataBytes = DataBits / 8
L
LinJiawei 已提交
131 132
  val HasFPU = core.HasFPU
  val FetchWidth = core.FectchWidth
133
  val PredictWidth = FetchWidth * (if (HasCExtension) 2 else 1)
L
LinJiawei 已提交
134 135 136 137
  val EnableBPU = core.EnableBPU
  val EnableBPD = core.EnableBPD // enable backing predictor(like Tage) in BPUStage3
  val EnableRAS = core.EnableRAS
  val EnableLB = core.EnableLB
138
  val EnableLoop = core.EnableLoop
139
  val EnableSC = core.EnableSC
L
LinJiawei 已提交
140 141
  val HistoryLength = core.HistoryLength
  val BtbSize = core.BtbSize
G
GouLingrui 已提交
142 143 144
  // val BtbWays = 4
  val BtbBanks = PredictWidth
  // val BtbSets = BtbSize / BtbWays
L
LinJiawei 已提交
145 146 147
  val JbtacSize = core.JbtacSize
  val JbtacBanks = core.JbtacBanks
  val RasSize = core.RasSize
L
LinJiawei 已提交
148 149
  val CacheLineSize = core.CacheLineSize
  val CacheLineHalfWord = CacheLineSize / 16
G
GouLingrui 已提交
150
  val ExtHistoryLength = HistoryLength + 64
L
LinJiawei 已提交
151 152
  val UBtbWays = core.UBtbWays
  val BtbWays = core.BtbWays
153
  val EnableL1plusPrefetcher = core.EnableL1plusPrefetcher
L
LinJiawei 已提交
154 155 156 157 158 159
  val IBufSize = core.IBufSize
  val DecodeWidth = core.DecodeWidth
  val RenameWidth = core.RenameWidth
  val CommitWidth = core.CommitWidth
  val BrqSize = core.BrqSize
  val IssQueSize = core.IssQueSize
160
  val BrTagWidth = log2Up(BrqSize)
L
LinJiawei 已提交
161
  val NRPhyRegs = core.NRPhyRegs
162
  val PhyRegIdxWidth = log2Up(NRPhyRegs)
L
LinJiawei 已提交
163
  val RoqSize = core.RoqSize
164 165
  val LoadQueueSize = core.LoadQueueSize
  val StoreQueueSize = core.StoreQueueSize
L
LinJiawei 已提交
166
  val dpParams = core.dpParams
L
LinJiawei 已提交
167
  val exuParameters = core.exuParameters
L
LinJiawei 已提交
168 169 170 171 172 173 174 175 176
  val NRIntReadPorts = core.NRIntReadPorts
  val NRIntWritePorts = core.NRIntWritePorts
  val NRMemReadPorts = exuParameters.LduCnt + 2*exuParameters.StuCnt
  val NRFpReadPorts = core.NRFpReadPorts
  val NRFpWritePorts = core.NRFpWritePorts
  val LoadPipelineWidth = core.LoadPipelineWidth
  val StorePipelineWidth = core.StorePipelineWidth
  val StoreBufferSize = core.StoreBufferSize
  val RefillSize = core.RefillSize
177
  val DTLBWidth = core.LoadPipelineWidth + core.StorePipelineWidth
178
  val TlbEntrySize = core.TlbEntrySize
179
  val TlbSPEntrySize = core.TlbSPEntrySize
180
  val TlbL2EntrySize = core.TlbL2EntrySize
181
  val TlbL2SPEntrySize = core.TlbL2SPEntrySize
182 183
  val PtwL1EntrySize = core.PtwL1EntrySize
  val PtwL2EntrySize = core.PtwL2EntrySize
184
  val NumPerfCounters = core.NumPerfCounters
W
wangkaifan 已提交
185
  val NrExtIntr = core.NrExtIntr
186 187

  val icacheParameters = ICacheParameters(
188 189
    tagECC = Some("parity"),
    dataECC = Some("parity"),
J
jinyue110 已提交
190
    replacer = Some("setlru"),
J
jinyue110 已提交
191
    nMissEntries = 2
192 193
  )

A
Allen 已提交
194 195 196 197
  val l1plusCacheParameters = L1plusCacheParameters(
    tagECC = Some("secded"),
    dataECC = Some("secded"),
    nMissEntries = 8
198 199 200
  )

  val dcacheParameters = DCacheParameters(
201
    tagECC = Some("secded"),
202 203 204 205
    dataECC = Some("secded"),
    nMissEntries = 16,
    nLoadMissEntries = 8,
    nStoreMissEntries = 8
206
  )
A
Allen 已提交
207 208

  val LRSCCycles = 100
209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230


  // cache hierarchy configurations
  val l1BusDataWidth = 256

  // L2 configurations
  val L1BusWidth = 256
  val L2Size = 512 * 1024 // 512KB
  val L2BlockSize = 64
  val L2NWays = 8
  val L2NSets = L2Size / L2BlockSize / L2NWays

  // L3 configurations
  val L2BusWidth = 256
  val L3Size = 4 * 1024 * 1024 // 4MB
  val L3BlockSize = 64
  val L3NBanks = 4
  val L3NWays = 8
  val L3NSets = L3Size / L3BlockSize / L3NBanks / L3NWays

  // on chip network configurations
  val L3BusWidth = 256
231 232 233

  // icache prefetcher
  val l1plusPrefetcherParameters = L1plusPrefetcherParameters(
234
    enable = true,
235 236
    _type = "stream",
    streamParams = StreamPrefetchParameters(
237
      streamCnt = 2,
238 239 240
      streamSize = 4,
      ageWidth = 4,
      blockBytes = l1plusCacheParameters.blockBytes,
241 242
      reallocStreamOnMissInstantly = true,
      cacheName = "icache"
243 244 245 246 247 248
    )
  )

  // dcache prefetcher
  val l2PrefetcherParameters = L2PrefetcherParameters(
    enable = true,
249
    _type = "bop",// "stream" or "bop"
250 251 252 253 254
    streamParams = StreamPrefetchParameters(
      streamCnt = 4,
      streamSize = 4,
      ageWidth = 4,
      blockBytes = L2BlockSize,
255 256
      reallocStreamOnMissInstantly = true,
      cacheName = "dcache"
257 258 259 260 261
    ),
    bopParams = BOPParameters(
      rrTableEntries = 256,
      rrTagBits = 12,
      scoreBits = 5,
262
      roundMax = 50,
263 264 265 266
      badScore = 1,
      blockBytes = L2BlockSize,
      nEntries = dcacheParameters.nMissEntries * 2 // TODO: this is too large
    ),
267
  )
L
LinJiawei 已提交
268 269
}

L
linjiawei 已提交
270
trait HasXSLog { this: RawModule =>
271
  implicit val moduleName: String = this.name
L
LinJiawei 已提交
272 273
}

L
LinJiawei 已提交
274
abstract class XSModule extends MultiIOModule
L
LinJiawei 已提交
275 276
  with HasXSParameter
  with HasExceptionNO
L
LinJiawei 已提交
277
  with HasXSLog
L
LinJiawei 已提交
278
  with HasFPUParameters
L
LinJiawei 已提交
279 280 281
{
  def io: Record
}
L
LinJiawei 已提交
282

283
//remove this trait after impl module logic
L
LinJiawei 已提交
284
trait NeedImpl { this: RawModule =>
285
  override protected def IO[T <: Data](iodef: T): T = {
L
LinJiawei 已提交
286
    println(s"[Warn]: (${this.name}) please reomve 'NeedImpl' after implement this module")
287 288 289 290 291 292
    val io = chisel3.experimental.IO(iodef)
    io <> DontCare
    io
  }
}

L
LinJiawei 已提交
293 294 295
abstract class XSBundle extends Bundle
  with HasXSParameter

L
LinJiawei 已提交
296
case class EnviromentParameters
L
LinJiawei 已提交
297 298
(
  FPGAPlatform: Boolean = true,
Y
Yinan Xu 已提交
299
  EnableDebug: Boolean = false,
300 301
  EnablePerfDebug: Boolean = false,
  DualCoreDifftest: Boolean = false
L
LinJiawei 已提交
302 303
)

W
William Wang 已提交
304 305 306 307 308 309 310
// object AddressSpace extends HasXSParameter {
//   // (start, size)
//   // address out of MMIO will be considered as DRAM
//   def mmio = List(
//     (0x00000000L, 0x40000000L),  // internal devices, such as CLINT and PLIC
//     (0x40000000L, 0x40000000L)   // external devices
//   )
L
LinJiawei 已提交
311

W
William Wang 已提交
312 313 314 315 316 317
//   def isMMIO(addr: UInt): Bool = mmio.map(range => {
//     require(isPow2(range._2))
//     val bits = log2Up(range._2)
//     (addr ^ range._1.U)(PAddrBits-1, bits) === 0.U
//   }).reduce(_ || _)
// }
L
LinJiawei 已提交
318 319


320

321 322 323 324 325 326 327 328 329 330 331 332 333 334 335
class XSCore()(implicit p: config.Parameters) extends LazyModule
  with HasXSParameter
  with HasExeBlockHelper
{

  // to fast wake up fp, mem rs
  val intBlockFastWakeUpFp = intExuConfigs.filter(fpFastFilter)
  val intBlockSlowWakeUpFp = intExuConfigs.filter(fpSlowFilter)
  val intBlockFastWakeUpInt = intExuConfigs.filter(intFastFilter)
  val intBlockSlowWakeUpInt = intExuConfigs.filter(intSlowFilter)

  val fpBlockFastWakeUpFp = fpExuConfigs.filter(fpFastFilter)
  val fpBlockSlowWakeUpFp = fpExuConfigs.filter(fpSlowFilter)
  val fpBlockFastWakeUpInt = fpExuConfigs.filter(intFastFilter)
  val fpBlockSlowWakeUpInt = fpExuConfigs.filter(intSlowFilter)
336

Y
Yinan Xu 已提交
337
  // outer facing nodes
J
jinyue110 已提交
338
  val frontend = LazyModule(new Frontend())
J
jinyue110 已提交
339
  val l1pluscache = LazyModule(new L1plusCache())
L
linjiawei 已提交
340
  val ptw = LazyModule(new PTW())
341
  val l2Prefetcher = LazyModule(new L2Prefetcher())
342 343 344 345 346 347 348 349
  val memBlock = LazyModule(new MemBlock(
    fastWakeUpIn = intBlockFastWakeUpInt ++ intBlockFastWakeUpFp ++ fpBlockFastWakeUpInt ++ fpBlockFastWakeUpFp,
    slowWakeUpIn = intBlockSlowWakeUpInt ++ intBlockSlowWakeUpFp ++ fpBlockSlowWakeUpInt ++ fpBlockSlowWakeUpFp,
    fastFpOut = Seq(),
    slowFpOut = loadExuConfigs,
    fastIntOut = Seq(),
    slowIntOut = loadExuConfigs
  ))
350

L
linjiawei 已提交
351 352 353
  lazy val module = new XSCoreImp(this)
}

354 355 356 357
class XSCoreImp(outer: XSCore) extends LazyModuleImp(outer)
  with HasXSParameter
  with HasExeBlockHelper
{
Y
Yinan Xu 已提交
358 359
  val io = IO(new Bundle {
    val externalInterrupt = new ExternalInterruptIO
360
    val l2ToPrefetcher = Flipped(new PrefetcherIO(PAddrBits))
Y
Yinan Xu 已提交
361
  })
362
  
363 364
  val difftestIO = IO(new DifftestBundle())
  difftestIO <> DontCare
365 366 367
  
  val trapIO = IO(new TrapIO())
  trapIO <> DontCare
368

Z
ZhangZifei 已提交
369
  println(s"FPGAPlatform:${env.FPGAPlatform} EnableDebug:${env.EnableDebug}")
370
  AddressSpace.printMemmap()
Z
ZhangZifei 已提交
371

L
LinJiawei 已提交
372
  // to fast wake up fp, mem rs
373 374 375 376
  val intBlockFastWakeUpFp = intExuConfigs.filter(fpFastFilter)
  val intBlockSlowWakeUpFp = intExuConfigs.filter(fpSlowFilter)
  val intBlockFastWakeUpInt = intExuConfigs.filter(intFastFilter)
  val intBlockSlowWakeUpInt = intExuConfigs.filter(intSlowFilter)
L
LinJiawei 已提交
377

378 379 380 381
  val fpBlockFastWakeUpFp = fpExuConfigs.filter(fpFastFilter)
  val fpBlockSlowWakeUpFp = fpExuConfigs.filter(fpSlowFilter)
  val fpBlockFastWakeUpInt = fpExuConfigs.filter(intFastFilter)
  val fpBlockSlowWakeUpInt = fpExuConfigs.filter(intSlowFilter)
L
LinJiawei 已提交
382

383
  val ctrlBlock = Module(new CtrlBlock)
L
LinJiawei 已提交
384
  val integerBlock = Module(new IntegerBlock(
385 386 387 388 389 390
    fastWakeUpIn = fpBlockFastWakeUpInt,
    slowWakeUpIn = fpBlockSlowWakeUpInt ++ loadExuConfigs,
    fastFpOut = intBlockFastWakeUpFp,
    slowFpOut = intBlockSlowWakeUpFp,
    fastIntOut = intBlockFastWakeUpInt,
    slowIntOut = intBlockSlowWakeUpInt
L
LinJiawei 已提交
391 392
  ))
  val floatBlock = Module(new FloatBlock(
393 394 395 396 397 398
    fastWakeUpIn = intBlockFastWakeUpFp,
    slowWakeUpIn = intBlockSlowWakeUpFp ++ loadExuConfigs,
    fastFpOut = fpBlockFastWakeUpFp,
    slowFpOut = fpBlockSlowWakeUpFp,
    fastIntOut = fpBlockFastWakeUpInt,
    slowIntOut = fpBlockSlowWakeUpInt
L
LinJiawei 已提交
399
  ))
L
linjiawei 已提交
400

J
jinyue110 已提交
401
  val frontend = outer.frontend.module
402
  val memBlock = outer.memBlock.module
J
jinyue110 已提交
403
  val l1pluscache = outer.l1pluscache.module
L
linjiawei 已提交
404
  val ptw = outer.ptw.module
405
  val l2Prefetcher = outer.l2Prefetcher.module
L
linjiawei 已提交
406

407
  frontend.io.backend <> ctrlBlock.io.frontend
Y
Yinan Xu 已提交
408 409
  frontend.io.sfence <> integerBlock.io.fenceio.sfence
  frontend.io.tlbCsr <> integerBlock.io.csrio.tlb
J
jinyue110 已提交
410

L
Lingrui98 已提交
411 412 413 414
  frontend.io.icacheMemAcq <> l1pluscache.io.req
  l1pluscache.io.resp <> frontend.io.icacheMemGrant
  l1pluscache.io.flush := frontend.io.l1plusFlush
  frontend.io.fencei := integerBlock.io.fenceio.fencei
415 416 417 418 419 420 421 422

  ctrlBlock.io.fromIntBlock <> integerBlock.io.toCtrlBlock
  ctrlBlock.io.fromFpBlock <> floatBlock.io.toCtrlBlock
  ctrlBlock.io.fromLsBlock <> memBlock.io.toCtrlBlock
  ctrlBlock.io.toIntBlock <> integerBlock.io.fromCtrlBlock
  ctrlBlock.io.toFpBlock <> floatBlock.io.fromCtrlBlock
  ctrlBlock.io.toLsBlock <> memBlock.io.fromCtrlBlock

L
LinJiawei 已提交
423
  integerBlock.io.wakeUpIn.fastUops <> floatBlock.io.wakeUpIntOut.fastUops
L
LinJiawei 已提交
424 425
  integerBlock.io.wakeUpIn.fast <> floatBlock.io.wakeUpIntOut.fast
  integerBlock.io.wakeUpIn.slow <> floatBlock.io.wakeUpIntOut.slow ++ memBlock.io.wakeUpIntOut.slow
426
  integerBlock.io.toMemBlock <> memBlock.io.fromIntBlock
L
LinJiawei 已提交
427

L
LinJiawei 已提交
428
  floatBlock.io.wakeUpIn.fastUops <> integerBlock.io.wakeUpFpOut.fastUops
L
LinJiawei 已提交
429 430
  floatBlock.io.wakeUpIn.fast <> integerBlock.io.wakeUpFpOut.fast
  floatBlock.io.wakeUpIn.slow <> integerBlock.io.wakeUpFpOut.slow ++ memBlock.io.wakeUpFpOut.slow
431
  floatBlock.io.toMemBlock <> memBlock.io.fromFpBlock
L
LinJiawei 已提交
432

L
LinJiawei 已提交
433

L
LinJiawei 已提交
434 435 436 437 438 439
  integerBlock.io.wakeUpIntOut.fast.map(_.ready := true.B)
  integerBlock.io.wakeUpIntOut.slow.map(_.ready := true.B)
  floatBlock.io.wakeUpFpOut.fast.map(_.ready := true.B)
  floatBlock.io.wakeUpFpOut.slow.map(_.ready := true.B)

  val wakeUpMem = Seq(
L
LinJiawei 已提交
440 441 442 443
    integerBlock.io.wakeUpIntOut,
    integerBlock.io.wakeUpFpOut,
    floatBlock.io.wakeUpIntOut,
    floatBlock.io.wakeUpFpOut
L
LinJiawei 已提交
444 445 446 447 448 449 450 451 452 453
  )
  memBlock.io.wakeUpIn.fastUops <> wakeUpMem.flatMap(_.fastUops)
  memBlock.io.wakeUpIn.fast <> wakeUpMem.flatMap(w => w.fast.map(f => {
	val raw = WireInit(f)
	raw
  }))
  memBlock.io.wakeUpIn.slow <> wakeUpMem.flatMap(w => w.slow.map(s => {
	val raw = WireInit(s)
	raw
  }))
L
LinJiawei 已提交
454

Y
Yinan Xu 已提交
455 456 457 458 459
  integerBlock.io.csrio.fflags <> ctrlBlock.io.roqio.toCSR.fflags
  integerBlock.io.csrio.dirty_fs <> ctrlBlock.io.roqio.toCSR.dirty_fs
  integerBlock.io.csrio.exception <> ctrlBlock.io.roqio.exception
  integerBlock.io.csrio.isInterrupt <> ctrlBlock.io.roqio.isInterrupt
  integerBlock.io.csrio.trapTarget <> ctrlBlock.io.roqio.toCSR.trapTarget
Y
Yinan Xu 已提交
460
  integerBlock.io.csrio.interrupt <> ctrlBlock.io.roqio.toCSR.intrBitSet
Y
Yinan Xu 已提交
461 462 463
  integerBlock.io.csrio.memExceptionVAddr <> memBlock.io.lsqio.exceptionAddr.vaddr
  integerBlock.io.csrio.externalInterrupt <> io.externalInterrupt
  integerBlock.io.csrio.tlb <> memBlock.io.tlbCsr
464
  integerBlock.io.csrio.perfinfo <> ctrlBlock.io.roqio.toCSR.perfinfo
Y
Yinan Xu 已提交
465 466 467 468 469
  integerBlock.io.fenceio.sfence <> memBlock.io.sfence
  integerBlock.io.fenceio.sbuffer <> memBlock.io.fenceToSbuffer

  floatBlock.io.frm <> integerBlock.io.csrio.frm

470
  memBlock.io.lsqio.roq <> ctrlBlock.io.roqio.lsq
Y
Yinan Xu 已提交
471 472 473
  memBlock.io.lsqio.exceptionAddr.lsIdx.lqIdx := ctrlBlock.io.roqio.exception.bits.lqIdx
  memBlock.io.lsqio.exceptionAddr.lsIdx.sqIdx := ctrlBlock.io.roqio.exception.bits.sqIdx
  memBlock.io.lsqio.exceptionAddr.isStore := CommitType.lsInstIsStore(ctrlBlock.io.roqio.exception.bits.ctrl.commitType)
474 475 476

  ptw.io.tlb(0) <> memBlock.io.ptw
  ptw.io.tlb(1) <> frontend.io.ptw
Y
Yinan Xu 已提交
477
  ptw.io.sfence <> integerBlock.io.fenceio.sfence
478
  ptw.io.csr    <> integerBlock.io.csrio.tlb
479

480 481 482 483 484 485 486 487 488 489
  val l2PrefetcherIn = Wire(Decoupled(new MissReq))
  if (l2PrefetcherParameters.enable && l2PrefetcherParameters._type == "bop") {
    l2PrefetcherIn.valid := io.l2ToPrefetcher.acquire.valid
    l2PrefetcherIn.bits := DontCare
    l2PrefetcherIn.bits.addr := io.l2ToPrefetcher.acquire.bits.address
    l2PrefetcherIn.bits.cmd := Mux(io.l2ToPrefetcher.acquire.bits.write, MemoryOpConstants.M_XWR, MemoryOpConstants.M_XRD)
  } else {
    l2PrefetcherIn <> memBlock.io.toDCachePrefetch
  }
  l2Prefetcher.io.in <> l2PrefetcherIn
490

L
LinJiawei 已提交
491
  if (!env.FPGAPlatform) {
492 493 494 495
    val debugIntReg, debugFpReg = WireInit(VecInit(Seq.fill(32)(0.U(XLEN.W))))
    ExcitingUtils.addSink(debugIntReg, "DEBUG_INT_ARCH_REG", ExcitingUtils.Debug)
    ExcitingUtils.addSink(debugFpReg, "DEBUG_FP_ARCH_REG", ExcitingUtils.Debug)
    val debugArchReg = WireInit(VecInit(debugIntReg ++ debugFpReg))
L
LinJiawei 已提交
496 497 498
    ExcitingUtils.addSource(debugArchReg, "difftestRegs", ExcitingUtils.Debug)
  }

499 500 501 502 503 504
  if (env.DualCoreDifftest) {
    val id = hartIdCore()
    difftestIO.fromSbuffer <> memBlock.difftestIO.fromSbuffer
    difftestIO.fromSQ <> memBlock.difftestIO.fromSQ
    difftestIO.fromCSR <> integerBlock.difftestIO.fromCSR
    difftestIO.fromRoq <> ctrlBlock.difftestIO.fromRoq
505
    trapIO <> ctrlBlock.trapIO
506 507 508 509 510 511 512 513

    val debugIntReg, debugFpReg = WireInit(VecInit(Seq.fill(32)(0.U(XLEN.W))))
    ExcitingUtils.addSink(debugIntReg, s"DEBUG_INT_ARCH_REG$id", ExcitingUtils.Debug)
    ExcitingUtils.addSink(debugFpReg, s"DEBUG_FP_ARCH_REG$id", ExcitingUtils.Debug)
    val debugArchReg = WireInit(VecInit(debugIntReg ++ debugFpReg))
    difftestIO.fromXSCore.r := debugArchReg
  }

L
LinJiawei 已提交
514
}