XSCore.scala 14.9 KB
Newer Older
L
LinJiawei 已提交
1 2 3 4
package xiangshan

import chisel3._
import chisel3.util._
L
LinJiawei 已提交
5
import top.Parameters
L
LinJiawei 已提交
6
import xiangshan.backend._
7
import xiangshan.backend.dispatch.DispatchParameters
L
LinJiawei 已提交
8
import xiangshan.backend.exu.ExuParameters
L
LinJiawei 已提交
9
import xiangshan.backend.exu.Exu._
G
GouLingrui 已提交
10
import xiangshan.frontend._
11
import xiangshan.mem._
Y
Yinan Xu 已提交
12
import xiangshan.backend.fu.HasExceptionNO
L
LinJiawei 已提交
13
import xiangshan.cache.{DCache, DCacheParameters, ICache, ICacheParameters, L1plusCache, L1plusCacheParameters, PTW, Uncache}
14
import xiangshan.cache.prefetch._
L
linjiawei 已提交
15
import chipsalliance.rocketchip.config
L
LinJiawei 已提交
16 17 18
import freechips.rocketchip.diplomacy.{AddressSet, LazyModule, LazyModuleImp}
import freechips.rocketchip.tilelink.{TLBuffer, TLBundleParameters, TLCacheCork, TLClientNode, TLFilter, TLIdentityNode, TLToAXI4, TLWidthWidget, TLXbar}
import freechips.rocketchip.devices.tilelink.{DevNullParams, TLError}
L
LinJiawei 已提交
19
import sifive.blocks.inclusivecache.{CacheParameters, InclusiveCache, InclusiveCacheMicroParameters}
L
LinJiawei 已提交
20 21
import freechips.rocketchip.amba.axi4.{AXI4Deinterleaver, AXI4Fragmenter, AXI4IdIndexer, AXI4IdentityNode, AXI4ToTL, AXI4UserYanker}
import freechips.rocketchip.tile.HasFPUParameters
L
LinJiawei 已提交
22
import utils._
L
LinJiawei 已提交
23

L
LinJiawei 已提交
24 25 26 27
case class XSCoreParameters
(
  XLEN: Int = 64,
  HasMExtension: Boolean = true,
L
Lingrui98 已提交
28
  HasCExtension: Boolean = true,
L
LinJiawei 已提交
29 30 31 32 33 34
  HasDiv: Boolean = true,
  HasICache: Boolean = true,
  HasDCache: Boolean = true,
  EnableStoreQueue: Boolean = true,
  AddrBits: Int = 64,
  VAddrBits: Int = 39,
35
  PAddrBits: Int = 40,
L
LinJiawei 已提交
36
  HasFPU: Boolean = true,
L
LinJiawei 已提交
37 38
  FectchWidth: Int = 8,
  EnableBPU: Boolean = true,
L
Lingrui98 已提交
39
  EnableBPD: Boolean = true,
L
Lingrui98 已提交
40
  EnableRAS: Boolean = true,
L
Lingrui98 已提交
41
  EnableLB: Boolean = false,
Z
zoujr 已提交
42
  EnableLoop: Boolean = true,
L
Lingrui98 已提交
43
  EnableSC: Boolean = false,
L
LinJiawei 已提交
44
  HistoryLength: Int = 64,
45
  BtbSize: Int = 2048,
L
LinJiawei 已提交
46 47 48 49 50 51
  JbtacSize: Int = 1024,
  JbtacBanks: Int = 8,
  RasSize: Int = 16,
  CacheLineSize: Int = 512,
  UBtbWays: Int = 16,
  BtbWays: Int = 2,
S
Steve Gou 已提交
52

53
  EnableL1plusPrefetcher: Boolean = true,
Z
zoujr 已提交
54
  IBufSize: Int = 32,
L
LinJiawei 已提交
55 56 57
  DecodeWidth: Int = 6,
  RenameWidth: Int = 6,
  CommitWidth: Int = 6,
Y
Yinan Xu 已提交
58
  BrqSize: Int = 32,
59
  IssQueSize: Int = 12,
Y
Yinan Xu 已提交
60
  NRPhyRegs: Int = 160,
Z
zoujr 已提交
61
  NRIntReadPorts: Int = 14,
L
LinJiawei 已提交
62 63
  NRIntWritePorts: Int = 8,
  NRFpReadPorts: Int = 14,
Y
Yinan Xu 已提交
64
  NRFpWritePorts: Int = 8,
Y
Yinan Xu 已提交
65 66 67
  LoadQueueSize: Int = 64,
  StoreQueueSize: Int = 48,
  RoqSize: Int = 192,
L
LinJiawei 已提交
68
  dpParams: DispatchParameters = DispatchParameters(
69 70 71
    IntDqSize = 32,
    FpDqSize = 32,
    LsDqSize = 32,
L
LinJiawei 已提交
72 73
    IntDqDeqWidth = 4,
    FpDqDeqWidth = 4,
Y
Yinan Xu 已提交
74
    LsDqDeqWidth = 4
L
LinJiawei 已提交
75 76
  ),
  exuParameters: ExuParameters = ExuParameters(
L
LinJiawei 已提交
77
    JmpCnt = 1,
78
    AluCnt = 4,
L
LinJiawei 已提交
79 80
    MulCnt = 0,
    MduCnt = 2,
81 82
    FmacCnt = 4,
    FmiscCnt = 2,
83
    FmiscDivSqrtCnt = 0,
L
LinJiawei 已提交
84 85 86 87 88 89
    LduCnt = 2,
    StuCnt = 2
  ),
  LoadPipelineWidth: Int = 2,
  StorePipelineWidth: Int = 2,
  StoreBufferSize: Int = 16,
90 91 92 93
  RefillSize: Int = 512,
  TlbEntrySize: Int = 32,
  TlbL2EntrySize: Int = 256, // or 512
  PtwL1EntrySize: Int = 16,
94
  PtwL2EntrySize: Int = 256,
W
wangkaifan 已提交
95 96
  NumPerfCounters: Int = 16,
  NrExtIntr: Int = 1
L
LinJiawei 已提交
97
)
L
LinJiawei 已提交
98 99

trait HasXSParameter {
L
LinJiawei 已提交
100 101 102 103

  val core = Parameters.get.coreParameters
  val env = Parameters.get.envParameters

L
LinJiawei 已提交
104 105 106 107
  val XLEN = 64
  val minFLen = 32
  val fLen = 64
  def xLen = 64
L
LinJiawei 已提交
108 109 110 111 112 113 114 115 116
  val HasMExtension = core.HasMExtension
  val HasCExtension = core.HasCExtension
  val HasDiv = core.HasDiv
  val HasIcache = core.HasICache
  val HasDcache = core.HasDCache
  val EnableStoreQueue = core.EnableStoreQueue
  val AddrBits = core.AddrBits // AddrBits is used in some cases
  val VAddrBits = core.VAddrBits // VAddrBits is Virtual Memory addr bits
  val PAddrBits = core.PAddrBits // PAddrBits is Phyical Memory addr bits
L
LinJiawei 已提交
117 118 119
  val AddrBytes = AddrBits / 8 // unused
  val DataBits = XLEN
  val DataBytes = DataBits / 8
L
LinJiawei 已提交
120 121
  val HasFPU = core.HasFPU
  val FetchWidth = core.FectchWidth
122
  val PredictWidth = FetchWidth * (if (HasCExtension) 2 else 1)
L
LinJiawei 已提交
123 124 125 126
  val EnableBPU = core.EnableBPU
  val EnableBPD = core.EnableBPD // enable backing predictor(like Tage) in BPUStage3
  val EnableRAS = core.EnableRAS
  val EnableLB = core.EnableLB
127
  val EnableLoop = core.EnableLoop
128
  val EnableSC = core.EnableSC
L
LinJiawei 已提交
129 130
  val HistoryLength = core.HistoryLength
  val BtbSize = core.BtbSize
G
GouLingrui 已提交
131 132 133
  // val BtbWays = 4
  val BtbBanks = PredictWidth
  // val BtbSets = BtbSize / BtbWays
L
LinJiawei 已提交
134 135 136
  val JbtacSize = core.JbtacSize
  val JbtacBanks = core.JbtacBanks
  val RasSize = core.RasSize
L
LinJiawei 已提交
137 138
  val CacheLineSize = core.CacheLineSize
  val CacheLineHalfWord = CacheLineSize / 16
G
GouLingrui 已提交
139
  val ExtHistoryLength = HistoryLength + 64
L
LinJiawei 已提交
140 141
  val UBtbWays = core.UBtbWays
  val BtbWays = core.BtbWays
142
  val EnableL1plusPrefetcher = core.EnableL1plusPrefetcher
L
LinJiawei 已提交
143 144 145 146 147 148
  val IBufSize = core.IBufSize
  val DecodeWidth = core.DecodeWidth
  val RenameWidth = core.RenameWidth
  val CommitWidth = core.CommitWidth
  val BrqSize = core.BrqSize
  val IssQueSize = core.IssQueSize
149
  val BrTagWidth = log2Up(BrqSize)
L
LinJiawei 已提交
150
  val NRPhyRegs = core.NRPhyRegs
151
  val PhyRegIdxWidth = log2Up(NRPhyRegs)
L
LinJiawei 已提交
152
  val RoqSize = core.RoqSize
153 154
  val LoadQueueSize = core.LoadQueueSize
  val StoreQueueSize = core.StoreQueueSize
L
LinJiawei 已提交
155
  val dpParams = core.dpParams
L
LinJiawei 已提交
156
  val exuParameters = core.exuParameters
L
LinJiawei 已提交
157 158 159 160 161 162 163 164 165
  val NRIntReadPorts = core.NRIntReadPorts
  val NRIntWritePorts = core.NRIntWritePorts
  val NRMemReadPorts = exuParameters.LduCnt + 2*exuParameters.StuCnt
  val NRFpReadPorts = core.NRFpReadPorts
  val NRFpWritePorts = core.NRFpWritePorts
  val LoadPipelineWidth = core.LoadPipelineWidth
  val StorePipelineWidth = core.StorePipelineWidth
  val StoreBufferSize = core.StoreBufferSize
  val RefillSize = core.RefillSize
166
  val DTLBWidth = core.LoadPipelineWidth + core.StorePipelineWidth
167 168 169 170
  val TlbEntrySize = core.TlbEntrySize
  val TlbL2EntrySize = core.TlbL2EntrySize
  val PtwL1EntrySize = core.PtwL1EntrySize
  val PtwL2EntrySize = core.PtwL2EntrySize
171
  val NumPerfCounters = core.NumPerfCounters
W
wangkaifan 已提交
172
  val NrExtIntr = core.NrExtIntr
173 174

  val icacheParameters = ICacheParameters(
175 176
    tagECC = Some("parity"),
    dataECC = Some("parity"),
J
jinyue110 已提交
177
    nMissEntries = 2
178 179
  )

A
Allen 已提交
180 181 182 183
  val l1plusCacheParameters = L1plusCacheParameters(
    tagECC = Some("secded"),
    dataECC = Some("secded"),
    nMissEntries = 8
184 185
  )

186
  // icache prefetcher
187
  val l1plusPrefetcherParameters = L1plusPrefetcherParameters(
188
    enable = false,
189 190 191 192 193 194 195
    _type = "stream",
    streamParams = StreamPrefetchParameters(
      streamCnt = 4,
      streamSize = 4,
      ageWidth = 4,
      blockBytes = l1plusCacheParameters.blockBytes,
      reallocStreamOnMissInstantly = true
196 197 198 199 200
    )
  )

  // dcache prefetcher
  val l2PrefetcherParameters = L2PrefetcherParameters(
201
    enable = true,
202 203 204 205 206 207 208
    _type = "stream",
    streamParams = StreamPrefetchParameters(
      streamCnt = 4,
      streamSize = 4,
      ageWidth = 4,
      blockBytes = L2BlockSize,
      reallocStreamOnMissInstantly = true
209 210 211
    )
  )

212
  val dcacheParameters = DCacheParameters(
213
    tagECC = Some("secded"),
214 215 216 217
    dataECC = Some("secded"),
    nMissEntries = 16,
    nLoadMissEntries = 8,
    nStoreMissEntries = 8
218
  )
A
Allen 已提交
219 220

  val LRSCCycles = 100
221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242


  // cache hierarchy configurations
  val l1BusDataWidth = 256

  // L2 configurations
  val L1BusWidth = 256
  val L2Size = 512 * 1024 // 512KB
  val L2BlockSize = 64
  val L2NWays = 8
  val L2NSets = L2Size / L2BlockSize / L2NWays

  // L3 configurations
  val L2BusWidth = 256
  val L3Size = 4 * 1024 * 1024 // 4MB
  val L3BlockSize = 64
  val L3NBanks = 4
  val L3NWays = 8
  val L3NSets = L3Size / L3BlockSize / L3NBanks / L3NWays

  // on chip network configurations
  val L3BusWidth = 256
L
LinJiawei 已提交
243 244
}

L
linjiawei 已提交
245
trait HasXSLog { this: RawModule =>
246
  implicit val moduleName: String = this.name
L
LinJiawei 已提交
247 248
}

L
LinJiawei 已提交
249
abstract class XSModule extends MultiIOModule
L
LinJiawei 已提交
250 251
  with HasXSParameter
  with HasExceptionNO
L
LinJiawei 已提交
252
  with HasXSLog
L
LinJiawei 已提交
253
  with HasFPUParameters
L
LinJiawei 已提交
254 255 256
{
  def io: Record
}
L
LinJiawei 已提交
257

258
//remove this trait after impl module logic
L
LinJiawei 已提交
259
trait NeedImpl { this: RawModule =>
260
  override protected def IO[T <: Data](iodef: T): T = {
L
LinJiawei 已提交
261
    println(s"[Warn]: (${this.name}) please reomve 'NeedImpl' after implement this module")
262 263 264 265 266 267
    val io = chisel3.experimental.IO(iodef)
    io <> DontCare
    io
  }
}

L
LinJiawei 已提交
268 269 270
abstract class XSBundle extends Bundle
  with HasXSParameter

L
LinJiawei 已提交
271
case class EnviromentParameters
L
LinJiawei 已提交
272 273
(
  FPGAPlatform: Boolean = true,
L
LinJiawei 已提交
274
  EnableDebug: Boolean = false
L
LinJiawei 已提交
275 276
)

L
LinJiawei 已提交
277 278 279 280
object AddressSpace extends HasXSParameter {
  // (start, size)
  // address out of MMIO will be considered as DRAM
  def mmio = List(
281 282
    (0x00000000L, 0x40000000L),  // internal devices, such as CLINT and PLIC
    (0x40000000L, 0x40000000L)   // external devices
L
LinJiawei 已提交
283 284 285 286 287 288 289 290 291 292
  )

  def isMMIO(addr: UInt): Bool = mmio.map(range => {
    require(isPow2(range._2))
    val bits = log2Up(range._2)
    (addr ^ range._1.U)(PAddrBits-1, bits) === 0.U
  }).reduce(_ || _)
}


293

294 295 296 297 298 299 300 301 302 303 304 305 306 307 308
class XSCore()(implicit p: config.Parameters) extends LazyModule
  with HasXSParameter
  with HasExeBlockHelper
{

  // to fast wake up fp, mem rs
  val intBlockFastWakeUpFp = intExuConfigs.filter(fpFastFilter)
  val intBlockSlowWakeUpFp = intExuConfigs.filter(fpSlowFilter)
  val intBlockFastWakeUpInt = intExuConfigs.filter(intFastFilter)
  val intBlockSlowWakeUpInt = intExuConfigs.filter(intSlowFilter)

  val fpBlockFastWakeUpFp = fpExuConfigs.filter(fpFastFilter)
  val fpBlockSlowWakeUpFp = fpExuConfigs.filter(fpSlowFilter)
  val fpBlockFastWakeUpInt = fpExuConfigs.filter(intFastFilter)
  val fpBlockSlowWakeUpInt = fpExuConfigs.filter(intSlowFilter)
309

Y
Yinan Xu 已提交
310
  // outer facing nodes
J
jinyue110 已提交
311
  val l1pluscache = LazyModule(new L1plusCache())
L
linjiawei 已提交
312
  val ptw = LazyModule(new PTW())
313
  val l2Prefetcher = LazyModule(new L2Prefetcher())
314 315 316 317 318 319 320 321
  val memBlock = LazyModule(new MemBlock(
    fastWakeUpIn = intBlockFastWakeUpInt ++ intBlockFastWakeUpFp ++ fpBlockFastWakeUpInt ++ fpBlockFastWakeUpFp,
    slowWakeUpIn = intBlockSlowWakeUpInt ++ intBlockSlowWakeUpFp ++ fpBlockSlowWakeUpInt ++ fpBlockSlowWakeUpFp,
    fastFpOut = Seq(),
    slowFpOut = loadExuConfigs,
    fastIntOut = Seq(),
    slowIntOut = loadExuConfigs
  ))
322

L
linjiawei 已提交
323 324 325
  lazy val module = new XSCoreImp(this)
}

326 327 328 329
class XSCoreImp(outer: XSCore) extends LazyModuleImp(outer)
  with HasXSParameter
  with HasExeBlockHelper
{
Y
Yinan Xu 已提交
330 331 332
  val io = IO(new Bundle {
    val externalInterrupt = new ExternalInterruptIO
  })
333

Z
ZhangZifei 已提交
334 335
  println(s"FPGAPlatform:${env.FPGAPlatform} EnableDebug:${env.EnableDebug}")

L
LinJiawei 已提交
336
  // to fast wake up fp, mem rs
337 338 339 340
  val intBlockFastWakeUpFp = intExuConfigs.filter(fpFastFilter)
  val intBlockSlowWakeUpFp = intExuConfigs.filter(fpSlowFilter)
  val intBlockFastWakeUpInt = intExuConfigs.filter(intFastFilter)
  val intBlockSlowWakeUpInt = intExuConfigs.filter(intSlowFilter)
L
LinJiawei 已提交
341

342 343 344 345
  val fpBlockFastWakeUpFp = fpExuConfigs.filter(fpFastFilter)
  val fpBlockSlowWakeUpFp = fpExuConfigs.filter(fpSlowFilter)
  val fpBlockFastWakeUpInt = fpExuConfigs.filter(intFastFilter)
  val fpBlockSlowWakeUpInt = fpExuConfigs.filter(intSlowFilter)
L
LinJiawei 已提交
346

347 348
  val frontend = Module(new Frontend)
  val ctrlBlock = Module(new CtrlBlock)
L
LinJiawei 已提交
349
  val integerBlock = Module(new IntegerBlock(
350 351 352 353 354 355
    fastWakeUpIn = fpBlockFastWakeUpInt,
    slowWakeUpIn = fpBlockSlowWakeUpInt ++ loadExuConfigs,
    fastFpOut = intBlockFastWakeUpFp,
    slowFpOut = intBlockSlowWakeUpFp,
    fastIntOut = intBlockFastWakeUpInt,
    slowIntOut = intBlockSlowWakeUpInt
L
LinJiawei 已提交
356 357
  ))
  val floatBlock = Module(new FloatBlock(
358 359 360 361 362 363
    fastWakeUpIn = intBlockFastWakeUpFp,
    slowWakeUpIn = intBlockSlowWakeUpFp ++ loadExuConfigs,
    fastFpOut = fpBlockFastWakeUpFp,
    slowFpOut = fpBlockSlowWakeUpFp,
    fastIntOut = fpBlockFastWakeUpInt,
    slowIntOut = fpBlockSlowWakeUpInt
L
LinJiawei 已提交
364
  ))
L
linjiawei 已提交
365

366
  val memBlock = outer.memBlock.module
J
jinyue110 已提交
367
  val l1pluscache = outer.l1pluscache.module
L
linjiawei 已提交
368
  val ptw = outer.ptw.module
369
  val l2Prefetcher = outer.l2Prefetcher.module
L
linjiawei 已提交
370

371
  frontend.io.backend <> ctrlBlock.io.frontend
Y
Yinan Xu 已提交
372 373
  frontend.io.sfence <> integerBlock.io.fenceio.sfence
  frontend.io.tlbCsr <> integerBlock.io.csrio.tlb
J
jinyue110 已提交
374

L
Lingrui98 已提交
375 376 377 378
  frontend.io.icacheMemAcq <> l1pluscache.io.req
  l1pluscache.io.resp <> frontend.io.icacheMemGrant
  l1pluscache.io.flush := frontend.io.l1plusFlush
  frontend.io.fencei := integerBlock.io.fenceio.fencei
379 380 381 382 383 384 385 386

  ctrlBlock.io.fromIntBlock <> integerBlock.io.toCtrlBlock
  ctrlBlock.io.fromFpBlock <> floatBlock.io.toCtrlBlock
  ctrlBlock.io.fromLsBlock <> memBlock.io.toCtrlBlock
  ctrlBlock.io.toIntBlock <> integerBlock.io.fromCtrlBlock
  ctrlBlock.io.toFpBlock <> floatBlock.io.fromCtrlBlock
  ctrlBlock.io.toLsBlock <> memBlock.io.fromCtrlBlock

L
LinJiawei 已提交
387
  integerBlock.io.wakeUpIn.fastUops <> floatBlock.io.wakeUpIntOut.fastUops
L
LinJiawei 已提交
388 389
  integerBlock.io.wakeUpIn.fast <> floatBlock.io.wakeUpIntOut.fast
  integerBlock.io.wakeUpIn.slow <> floatBlock.io.wakeUpIntOut.slow ++ memBlock.io.wakeUpIntOut.slow
390
  integerBlock.io.toMemBlock <> memBlock.io.fromIntBlock
L
LinJiawei 已提交
391

L
LinJiawei 已提交
392
  floatBlock.io.wakeUpIn.fastUops <> integerBlock.io.wakeUpFpOut.fastUops
L
LinJiawei 已提交
393 394
  floatBlock.io.wakeUpIn.fast <> integerBlock.io.wakeUpFpOut.fast
  floatBlock.io.wakeUpIn.slow <> integerBlock.io.wakeUpFpOut.slow ++ memBlock.io.wakeUpFpOut.slow
395
  floatBlock.io.toMemBlock <> memBlock.io.fromFpBlock
L
LinJiawei 已提交
396

L
LinJiawei 已提交
397

L
LinJiawei 已提交
398 399 400 401 402 403
  integerBlock.io.wakeUpIntOut.fast.map(_.ready := true.B)
  integerBlock.io.wakeUpIntOut.slow.map(_.ready := true.B)
  floatBlock.io.wakeUpFpOut.fast.map(_.ready := true.B)
  floatBlock.io.wakeUpFpOut.slow.map(_.ready := true.B)

  val wakeUpMem = Seq(
L
LinJiawei 已提交
404 405 406 407
    integerBlock.io.wakeUpIntOut,
    integerBlock.io.wakeUpFpOut,
    floatBlock.io.wakeUpIntOut,
    floatBlock.io.wakeUpFpOut
L
LinJiawei 已提交
408 409 410 411 412 413 414 415 416 417
  )
  memBlock.io.wakeUpIn.fastUops <> wakeUpMem.flatMap(_.fastUops)
  memBlock.io.wakeUpIn.fast <> wakeUpMem.flatMap(w => w.fast.map(f => {
	val raw = WireInit(f)
	raw
  }))
  memBlock.io.wakeUpIn.slow <> wakeUpMem.flatMap(w => w.slow.map(s => {
	val raw = WireInit(s)
	raw
  }))
L
LinJiawei 已提交
418

Y
Yinan Xu 已提交
419 420 421 422 423
  integerBlock.io.csrio.fflags <> ctrlBlock.io.roqio.toCSR.fflags
  integerBlock.io.csrio.dirty_fs <> ctrlBlock.io.roqio.toCSR.dirty_fs
  integerBlock.io.csrio.exception <> ctrlBlock.io.roqio.exception
  integerBlock.io.csrio.isInterrupt <> ctrlBlock.io.roqio.isInterrupt
  integerBlock.io.csrio.trapTarget <> ctrlBlock.io.roqio.toCSR.trapTarget
Y
Yinan Xu 已提交
424
  integerBlock.io.csrio.interrupt <> ctrlBlock.io.roqio.toCSR.intrBitSet
Y
Yinan Xu 已提交
425 426 427 428 429 430 431 432 433 434 435 436 437
  integerBlock.io.csrio.memExceptionVAddr <> memBlock.io.lsqio.exceptionAddr.vaddr
  integerBlock.io.csrio.externalInterrupt <> io.externalInterrupt
  integerBlock.io.csrio.tlb <> memBlock.io.tlbCsr
  integerBlock.io.fenceio.sfence <> memBlock.io.sfence
  integerBlock.io.fenceio.sbuffer <> memBlock.io.fenceToSbuffer

  floatBlock.io.frm <> integerBlock.io.csrio.frm

  memBlock.io.lsqio.commits <> ctrlBlock.io.roqio.commits
  memBlock.io.lsqio.roqDeqPtr <> ctrlBlock.io.roqio.roqDeqPtr
  memBlock.io.lsqio.exceptionAddr.lsIdx.lqIdx := ctrlBlock.io.roqio.exception.bits.lqIdx
  memBlock.io.lsqio.exceptionAddr.lsIdx.sqIdx := ctrlBlock.io.roqio.exception.bits.sqIdx
  memBlock.io.lsqio.exceptionAddr.isStore := CommitType.lsInstIsStore(ctrlBlock.io.roqio.exception.bits.ctrl.commitType)
438 439 440

  ptw.io.tlb(0) <> memBlock.io.ptw
  ptw.io.tlb(1) <> frontend.io.ptw
Y
Yinan Xu 已提交
441
  ptw.io.sfence <> integerBlock.io.fenceio.sfence
442
  ptw.io.csr    <> integerBlock.io.csrio.tlb
443

444
  l2Prefetcher.io.in <> memBlock.io.toDCachePrefetch
445

L
LinJiawei 已提交
446
  if (!env.FPGAPlatform) {
447 448 449 450
    val debugIntReg, debugFpReg = WireInit(VecInit(Seq.fill(32)(0.U(XLEN.W))))
    ExcitingUtils.addSink(debugIntReg, "DEBUG_INT_ARCH_REG", ExcitingUtils.Debug)
    ExcitingUtils.addSink(debugFpReg, "DEBUG_FP_ARCH_REG", ExcitingUtils.Debug)
    val debugArchReg = WireInit(VecInit(debugIntReg ++ debugFpReg))
L
LinJiawei 已提交
451 452 453
    ExcitingUtils.addSource(debugArchReg, "difftestRegs", ExcitingUtils.Debug)
  }

L
LinJiawei 已提交
454
}