XSCore.scala 15.5 KB
Newer Older
L
LinJiawei 已提交
1 2 3 4
package xiangshan

import chisel3._
import chisel3.util._
L
LinJiawei 已提交
5
import top.Parameters
L
LinJiawei 已提交
6
import xiangshan.backend._
7
import xiangshan.backend.dispatch.DispatchParameters
L
LinJiawei 已提交
8
import xiangshan.backend.exu.ExuParameters
L
LinJiawei 已提交
9
import xiangshan.backend.exu.Exu._
G
GouLingrui 已提交
10
import xiangshan.frontend._
11
import xiangshan.mem._
Y
Yinan Xu 已提交
12
import xiangshan.backend.fu.HasExceptionNO
Z
ZhangZifei 已提交
13
import xiangshan.cache.{DCache,InstrUncache, DCacheParameters, ICache, ICacheParameters, L1plusCache, L1plusCacheParameters, PTW, PTWRepeater, Uncache}
14
import xiangshan.cache.prefetch._
L
linjiawei 已提交
15
import chipsalliance.rocketchip.config
L
LinJiawei 已提交
16 17 18
import freechips.rocketchip.diplomacy.{AddressSet, LazyModule, LazyModuleImp}
import freechips.rocketchip.tilelink.{TLBuffer, TLBundleParameters, TLCacheCork, TLClientNode, TLFilter, TLIdentityNode, TLToAXI4, TLWidthWidget, TLXbar}
import freechips.rocketchip.devices.tilelink.{DevNullParams, TLError}
L
LinJiawei 已提交
19
import sifive.blocks.inclusivecache.{CacheParameters, InclusiveCache, InclusiveCacheMicroParameters}
L
LinJiawei 已提交
20 21
import freechips.rocketchip.amba.axi4.{AXI4Deinterleaver, AXI4Fragmenter, AXI4IdIndexer, AXI4IdentityNode, AXI4ToTL, AXI4UserYanker}
import freechips.rocketchip.tile.HasFPUParameters
L
LinJiawei 已提交
22
import utils._
L
LinJiawei 已提交
23

L
LinJiawei 已提交
24 25 26 27 28 29 30 31 32 33 34
case class XSCoreParameters
(
  XLEN: Int = 64,
  HasMExtension: Boolean = true,
  HasCExtension: Boolean = true,
  HasDiv: Boolean = true,
  HasICache: Boolean = true,
  HasDCache: Boolean = true,
  EnableStoreQueue: Boolean = true,
  AddrBits: Int = 64,
  VAddrBits: Int = 39,
35
  PAddrBits: Int = 40,
L
LinJiawei 已提交
36
  HasFPU: Boolean = true,
L
LinJiawei 已提交
37 38
  FectchWidth: Int = 8,
  EnableBPU: Boolean = true,
L
Lingrui98 已提交
39
  EnableBPD: Boolean = true,
G
GouLingrui 已提交
40
  EnableRAS: Boolean = true,
L
Lingrui98 已提交
41
  EnableLB: Boolean = false,
L
Lingrui98 已提交
42
  EnableLoop: Boolean = true,
L
Lingrui98 已提交
43
  EnableSC: Boolean = false,
L
LinJiawei 已提交
44
  HistoryLength: Int = 64,
45
  BtbSize: Int = 2048,
L
LinJiawei 已提交
46 47 48 49 50 51
  JbtacSize: Int = 1024,
  JbtacBanks: Int = 8,
  RasSize: Int = 16,
  CacheLineSize: Int = 512,
  UBtbWays: Int = 16,
  BtbWays: Int = 2,
S
Steve Gou 已提交
52

53
  EnableL1plusPrefetcher: Boolean = true,
Z
zoujr 已提交
54
  IBufSize: Int = 32,
L
LinJiawei 已提交
55 56 57
  DecodeWidth: Int = 6,
  RenameWidth: Int = 6,
  CommitWidth: Int = 6,
Y
Yinan Xu 已提交
58
  BrqSize: Int = 32,
59
  IssQueSize: Int = 12,
Y
Yinan Xu 已提交
60
  NRPhyRegs: Int = 160,
Z
zoujr 已提交
61
  NRIntReadPorts: Int = 14,
L
LinJiawei 已提交
62 63
  NRIntWritePorts: Int = 8,
  NRFpReadPorts: Int = 14,
Y
Yinan Xu 已提交
64
  NRFpWritePorts: Int = 8,
Y
Yinan Xu 已提交
65 66 67
  LoadQueueSize: Int = 64,
  StoreQueueSize: Int = 48,
  RoqSize: Int = 192,
L
LinJiawei 已提交
68
  dpParams: DispatchParameters = DispatchParameters(
69 70 71
    IntDqSize = 32,
    FpDqSize = 32,
    LsDqSize = 32,
L
LinJiawei 已提交
72 73
    IntDqDeqWidth = 4,
    FpDqDeqWidth = 4,
Y
Yinan Xu 已提交
74
    LsDqDeqWidth = 4
L
LinJiawei 已提交
75 76
  ),
  exuParameters: ExuParameters = ExuParameters(
L
LinJiawei 已提交
77
    JmpCnt = 1,
78
    AluCnt = 4,
L
LinJiawei 已提交
79 80
    MulCnt = 0,
    MduCnt = 2,
81 82
    FmacCnt = 4,
    FmiscCnt = 2,
83
    FmiscDivSqrtCnt = 0,
L
LinJiawei 已提交
84 85 86 87 88 89
    LduCnt = 2,
    StuCnt = 2
  ),
  LoadPipelineWidth: Int = 2,
  StorePipelineWidth: Int = 2,
  StoreBufferSize: Int = 16,
90 91
  RefillSize: Int = 512,
  TlbEntrySize: Int = 32,
92
  TlbSPEntrySize: Int = 4,
93
  TlbL2EntrySize: Int = 256, // or 512
94
  TlbL2SPEntrySize: Int = 16,
95
  PtwL1EntrySize: Int = 16,
96
  PtwL2EntrySize: Int = 256,
W
wangkaifan 已提交
97 98
  NumPerfCounters: Int = 16,
  NrExtIntr: Int = 1
L
LinJiawei 已提交
99
)
L
LinJiawei 已提交
100 101

trait HasXSParameter {
L
LinJiawei 已提交
102 103 104 105

  val core = Parameters.get.coreParameters
  val env = Parameters.get.envParameters

L
LinJiawei 已提交
106 107 108 109
  val XLEN = 64
  val minFLen = 32
  val fLen = 64
  def xLen = 64
L
LinJiawei 已提交
110 111 112 113 114 115 116 117 118
  val HasMExtension = core.HasMExtension
  val HasCExtension = core.HasCExtension
  val HasDiv = core.HasDiv
  val HasIcache = core.HasICache
  val HasDcache = core.HasDCache
  val EnableStoreQueue = core.EnableStoreQueue
  val AddrBits = core.AddrBits // AddrBits is used in some cases
  val VAddrBits = core.VAddrBits // VAddrBits is Virtual Memory addr bits
  val PAddrBits = core.PAddrBits // PAddrBits is Phyical Memory addr bits
L
LinJiawei 已提交
119 120 121
  val AddrBytes = AddrBits / 8 // unused
  val DataBits = XLEN
  val DataBytes = DataBits / 8
L
LinJiawei 已提交
122 123
  val HasFPU = core.HasFPU
  val FetchWidth = core.FectchWidth
124
  val PredictWidth = FetchWidth * (if (HasCExtension) 2 else 1)
L
LinJiawei 已提交
125 126 127 128
  val EnableBPU = core.EnableBPU
  val EnableBPD = core.EnableBPD // enable backing predictor(like Tage) in BPUStage3
  val EnableRAS = core.EnableRAS
  val EnableLB = core.EnableLB
129
  val EnableLoop = core.EnableLoop
130
  val EnableSC = core.EnableSC
L
LinJiawei 已提交
131 132
  val HistoryLength = core.HistoryLength
  val BtbSize = core.BtbSize
G
GouLingrui 已提交
133 134 135
  // val BtbWays = 4
  val BtbBanks = PredictWidth
  // val BtbSets = BtbSize / BtbWays
L
LinJiawei 已提交
136 137 138
  val JbtacSize = core.JbtacSize
  val JbtacBanks = core.JbtacBanks
  val RasSize = core.RasSize
L
LinJiawei 已提交
139 140
  val CacheLineSize = core.CacheLineSize
  val CacheLineHalfWord = CacheLineSize / 16
G
GouLingrui 已提交
141
  val ExtHistoryLength = HistoryLength + 64
L
LinJiawei 已提交
142 143
  val UBtbWays = core.UBtbWays
  val BtbWays = core.BtbWays
144
  val EnableL1plusPrefetcher = core.EnableL1plusPrefetcher
L
LinJiawei 已提交
145 146 147 148 149 150
  val IBufSize = core.IBufSize
  val DecodeWidth = core.DecodeWidth
  val RenameWidth = core.RenameWidth
  val CommitWidth = core.CommitWidth
  val BrqSize = core.BrqSize
  val IssQueSize = core.IssQueSize
151
  val BrTagWidth = log2Up(BrqSize)
L
LinJiawei 已提交
152
  val NRPhyRegs = core.NRPhyRegs
153
  val PhyRegIdxWidth = log2Up(NRPhyRegs)
L
LinJiawei 已提交
154
  val RoqSize = core.RoqSize
155 156
  val LoadQueueSize = core.LoadQueueSize
  val StoreQueueSize = core.StoreQueueSize
L
LinJiawei 已提交
157
  val dpParams = core.dpParams
L
LinJiawei 已提交
158
  val exuParameters = core.exuParameters
L
LinJiawei 已提交
159 160 161 162 163 164 165 166 167
  val NRIntReadPorts = core.NRIntReadPorts
  val NRIntWritePorts = core.NRIntWritePorts
  val NRMemReadPorts = exuParameters.LduCnt + 2*exuParameters.StuCnt
  val NRFpReadPorts = core.NRFpReadPorts
  val NRFpWritePorts = core.NRFpWritePorts
  val LoadPipelineWidth = core.LoadPipelineWidth
  val StorePipelineWidth = core.StorePipelineWidth
  val StoreBufferSize = core.StoreBufferSize
  val RefillSize = core.RefillSize
168
  val DTLBWidth = core.LoadPipelineWidth + core.StorePipelineWidth
169
  val TlbEntrySize = core.TlbEntrySize
170
  val TlbSPEntrySize = core.TlbSPEntrySize
171
  val TlbL2EntrySize = core.TlbL2EntrySize
172
  val TlbL2SPEntrySize = core.TlbL2SPEntrySize
173 174
  val PtwL1EntrySize = core.PtwL1EntrySize
  val PtwL2EntrySize = core.PtwL2EntrySize
175
  val NumPerfCounters = core.NumPerfCounters
W
wangkaifan 已提交
176
  val NrExtIntr = core.NrExtIntr
177 178

  val icacheParameters = ICacheParameters(
179 180
    tagECC = Some("parity"),
    dataECC = Some("parity"),
J
jinyue110 已提交
181
    nMissEntries = 2
182 183
  )

A
Allen 已提交
184 185 186 187
  val l1plusCacheParameters = L1plusCacheParameters(
    tagECC = Some("secded"),
    dataECC = Some("secded"),
    nMissEntries = 8
188 189 190
  )

  val dcacheParameters = DCacheParameters(
191
    tagECC = Some("secded"),
192 193 194 195
    dataECC = Some("secded"),
    nMissEntries = 16,
    nLoadMissEntries = 8,
    nStoreMissEntries = 8
196
  )
A
Allen 已提交
197 198

  val LRSCCycles = 100
199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220


  // cache hierarchy configurations
  val l1BusDataWidth = 256

  // L2 configurations
  val L1BusWidth = 256
  val L2Size = 512 * 1024 // 512KB
  val L2BlockSize = 64
  val L2NWays = 8
  val L2NSets = L2Size / L2BlockSize / L2NWays

  // L3 configurations
  val L2BusWidth = 256
  val L3Size = 4 * 1024 * 1024 // 4MB
  val L3BlockSize = 64
  val L3NBanks = 4
  val L3NWays = 8
  val L3NSets = L3Size / L3BlockSize / L3NBanks / L3NWays

  // on chip network configurations
  val L3BusWidth = 256
221 222 223

  // icache prefetcher
  val l1plusPrefetcherParameters = L1plusPrefetcherParameters(
224
    enable = true,
225 226
    _type = "stream",
    streamParams = StreamPrefetchParameters(
227
      streamCnt = 2,
228 229 230
      streamSize = 4,
      ageWidth = 4,
      blockBytes = l1plusCacheParameters.blockBytes,
231 232
      reallocStreamOnMissInstantly = true,
      cacheName = "icache"
233 234 235 236 237 238 239 240 241 242 243 244
    )
  )

  // dcache prefetcher
  val l2PrefetcherParameters = L2PrefetcherParameters(
    enable = true,
    _type = "stream",
    streamParams = StreamPrefetchParameters(
      streamCnt = 4,
      streamSize = 4,
      ageWidth = 4,
      blockBytes = L2BlockSize,
245 246
      reallocStreamOnMissInstantly = true,
      cacheName = "dcache"
247 248
    )
  )
L
LinJiawei 已提交
249 250
}

L
linjiawei 已提交
251
trait HasXSLog { this: RawModule =>
252
  implicit val moduleName: String = this.name
L
LinJiawei 已提交
253 254
}

L
LinJiawei 已提交
255
abstract class XSModule extends MultiIOModule
L
LinJiawei 已提交
256 257
  with HasXSParameter
  with HasExceptionNO
L
LinJiawei 已提交
258
  with HasXSLog
L
LinJiawei 已提交
259
  with HasFPUParameters
L
LinJiawei 已提交
260 261 262
{
  def io: Record
}
L
LinJiawei 已提交
263

264
//remove this trait after impl module logic
L
LinJiawei 已提交
265
trait NeedImpl { this: RawModule =>
266
  override protected def IO[T <: Data](iodef: T): T = {
L
LinJiawei 已提交
267
    println(s"[Warn]: (${this.name}) please reomve 'NeedImpl' after implement this module")
268 269 270 271 272 273
    val io = chisel3.experimental.IO(iodef)
    io <> DontCare
    io
  }
}

L
LinJiawei 已提交
274 275 276
abstract class XSBundle extends Bundle
  with HasXSParameter

L
LinJiawei 已提交
277
case class EnviromentParameters
L
LinJiawei 已提交
278 279
(
  FPGAPlatform: Boolean = true,
Y
Yinan Xu 已提交
280
  EnableDebug: Boolean = false,
281
  EnablePerfDebug: Boolean = false
L
LinJiawei 已提交
282 283
)

W
William Wang 已提交
284 285 286 287 288 289 290
// object AddressSpace extends HasXSParameter {
//   // (start, size)
//   // address out of MMIO will be considered as DRAM
//   def mmio = List(
//     (0x00000000L, 0x40000000L),  // internal devices, such as CLINT and PLIC
//     (0x40000000L, 0x40000000L)   // external devices
//   )
L
LinJiawei 已提交
291

W
William Wang 已提交
292 293 294 295 296 297
//   def isMMIO(addr: UInt): Bool = mmio.map(range => {
//     require(isPow2(range._2))
//     val bits = log2Up(range._2)
//     (addr ^ range._1.U)(PAddrBits-1, bits) === 0.U
//   }).reduce(_ || _)
// }
L
LinJiawei 已提交
298 299


300

301 302 303 304 305 306 307 308 309 310 311 312 313 314 315
class XSCore()(implicit p: config.Parameters) extends LazyModule
  with HasXSParameter
  with HasExeBlockHelper
{

  // to fast wake up fp, mem rs
  val intBlockFastWakeUpFp = intExuConfigs.filter(fpFastFilter)
  val intBlockSlowWakeUpFp = intExuConfigs.filter(fpSlowFilter)
  val intBlockFastWakeUpInt = intExuConfigs.filter(intFastFilter)
  val intBlockSlowWakeUpInt = intExuConfigs.filter(intSlowFilter)

  val fpBlockFastWakeUpFp = fpExuConfigs.filter(fpFastFilter)
  val fpBlockSlowWakeUpFp = fpExuConfigs.filter(fpSlowFilter)
  val fpBlockFastWakeUpInt = fpExuConfigs.filter(intFastFilter)
  val fpBlockSlowWakeUpInt = fpExuConfigs.filter(intSlowFilter)
316

Y
Yinan Xu 已提交
317
  // outer facing nodes
J
jinyue110 已提交
318
  val frontend = LazyModule(new Frontend())
J
jinyue110 已提交
319
  val l1pluscache = LazyModule(new L1plusCache())
L
linjiawei 已提交
320
  val ptw = LazyModule(new PTW())
321
  val l2Prefetcher = LazyModule(new L2Prefetcher())
322 323 324 325 326 327 328 329
  val memBlock = LazyModule(new MemBlock(
    fastWakeUpIn = intBlockFastWakeUpInt ++ intBlockFastWakeUpFp ++ fpBlockFastWakeUpInt ++ fpBlockFastWakeUpFp,
    slowWakeUpIn = intBlockSlowWakeUpInt ++ intBlockSlowWakeUpFp ++ fpBlockSlowWakeUpInt ++ fpBlockSlowWakeUpFp,
    fastFpOut = Seq(),
    slowFpOut = loadExuConfigs,
    fastIntOut = Seq(),
    slowIntOut = loadExuConfigs
  ))
330

L
linjiawei 已提交
331 332 333
  lazy val module = new XSCoreImp(this)
}

334 335 336 337
class XSCoreImp(outer: XSCore) extends LazyModuleImp(outer)
  with HasXSParameter
  with HasExeBlockHelper
{
Y
Yinan Xu 已提交
338 339 340
  val io = IO(new Bundle {
    val externalInterrupt = new ExternalInterruptIO
  })
341

Z
ZhangZifei 已提交
342
  println(s"FPGAPlatform:${env.FPGAPlatform} EnableDebug:${env.EnableDebug}")
343
  AddressSpace.printMemmap()
Z
ZhangZifei 已提交
344

L
LinJiawei 已提交
345
  // to fast wake up fp, mem rs
346 347 348 349
  val intBlockFastWakeUpFp = intExuConfigs.filter(fpFastFilter)
  val intBlockSlowWakeUpFp = intExuConfigs.filter(fpSlowFilter)
  val intBlockFastWakeUpInt = intExuConfigs.filter(intFastFilter)
  val intBlockSlowWakeUpInt = intExuConfigs.filter(intSlowFilter)
L
LinJiawei 已提交
350

351 352 353 354
  val fpBlockFastWakeUpFp = fpExuConfigs.filter(fpFastFilter)
  val fpBlockSlowWakeUpFp = fpExuConfigs.filter(fpSlowFilter)
  val fpBlockFastWakeUpInt = fpExuConfigs.filter(intFastFilter)
  val fpBlockSlowWakeUpInt = fpExuConfigs.filter(intSlowFilter)
L
LinJiawei 已提交
355

356
  val ctrlBlock = Module(new CtrlBlock)
L
LinJiawei 已提交
357
  val integerBlock = Module(new IntegerBlock(
358 359 360 361 362 363
    fastWakeUpIn = fpBlockFastWakeUpInt,
    slowWakeUpIn = fpBlockSlowWakeUpInt ++ loadExuConfigs,
    fastFpOut = intBlockFastWakeUpFp,
    slowFpOut = intBlockSlowWakeUpFp,
    fastIntOut = intBlockFastWakeUpInt,
    slowIntOut = intBlockSlowWakeUpInt
L
LinJiawei 已提交
364 365
  ))
  val floatBlock = Module(new FloatBlock(
366 367 368 369 370 371
    fastWakeUpIn = intBlockFastWakeUpFp,
    slowWakeUpIn = intBlockSlowWakeUpFp ++ loadExuConfigs,
    fastFpOut = fpBlockFastWakeUpFp,
    slowFpOut = fpBlockSlowWakeUpFp,
    fastIntOut = fpBlockFastWakeUpInt,
    slowIntOut = fpBlockSlowWakeUpInt
L
LinJiawei 已提交
372
  ))
L
linjiawei 已提交
373

J
jinyue110 已提交
374
  val frontend = outer.frontend.module
375
  val memBlock = outer.memBlock.module
J
jinyue110 已提交
376
  val l1pluscache = outer.l1pluscache.module
L
linjiawei 已提交
377
  val ptw = outer.ptw.module
378
  val l2Prefetcher = outer.l2Prefetcher.module
L
linjiawei 已提交
379

380
  frontend.io.backend <> ctrlBlock.io.frontend
Y
Yinan Xu 已提交
381 382
  frontend.io.sfence <> integerBlock.io.fenceio.sfence
  frontend.io.tlbCsr <> integerBlock.io.csrio.tlb
J
jinyue110 已提交
383

L
Lingrui98 已提交
384 385 386 387
  frontend.io.icacheMemAcq <> l1pluscache.io.req
  l1pluscache.io.resp <> frontend.io.icacheMemGrant
  l1pluscache.io.flush := frontend.io.l1plusFlush
  frontend.io.fencei := integerBlock.io.fenceio.fencei
388 389 390 391 392 393 394 395

  ctrlBlock.io.fromIntBlock <> integerBlock.io.toCtrlBlock
  ctrlBlock.io.fromFpBlock <> floatBlock.io.toCtrlBlock
  ctrlBlock.io.fromLsBlock <> memBlock.io.toCtrlBlock
  ctrlBlock.io.toIntBlock <> integerBlock.io.fromCtrlBlock
  ctrlBlock.io.toFpBlock <> floatBlock.io.fromCtrlBlock
  ctrlBlock.io.toLsBlock <> memBlock.io.fromCtrlBlock

L
LinJiawei 已提交
396
  integerBlock.io.wakeUpIn.fastUops <> floatBlock.io.wakeUpIntOut.fastUops
L
LinJiawei 已提交
397 398
  integerBlock.io.wakeUpIn.fast <> floatBlock.io.wakeUpIntOut.fast
  integerBlock.io.wakeUpIn.slow <> floatBlock.io.wakeUpIntOut.slow ++ memBlock.io.wakeUpIntOut.slow
399
  integerBlock.io.toMemBlock <> memBlock.io.fromIntBlock
L
LinJiawei 已提交
400

L
LinJiawei 已提交
401
  floatBlock.io.wakeUpIn.fastUops <> integerBlock.io.wakeUpFpOut.fastUops
L
LinJiawei 已提交
402 403
  floatBlock.io.wakeUpIn.fast <> integerBlock.io.wakeUpFpOut.fast
  floatBlock.io.wakeUpIn.slow <> integerBlock.io.wakeUpFpOut.slow ++ memBlock.io.wakeUpFpOut.slow
404
  floatBlock.io.toMemBlock <> memBlock.io.fromFpBlock
L
LinJiawei 已提交
405

L
LinJiawei 已提交
406

L
LinJiawei 已提交
407 408 409 410 411 412
  integerBlock.io.wakeUpIntOut.fast.map(_.ready := true.B)
  integerBlock.io.wakeUpIntOut.slow.map(_.ready := true.B)
  floatBlock.io.wakeUpFpOut.fast.map(_.ready := true.B)
  floatBlock.io.wakeUpFpOut.slow.map(_.ready := true.B)

  val wakeUpMem = Seq(
L
LinJiawei 已提交
413 414 415 416
    integerBlock.io.wakeUpIntOut,
    integerBlock.io.wakeUpFpOut,
    floatBlock.io.wakeUpIntOut,
    floatBlock.io.wakeUpFpOut
L
LinJiawei 已提交
417 418 419 420 421 422 423 424 425 426
  )
  memBlock.io.wakeUpIn.fastUops <> wakeUpMem.flatMap(_.fastUops)
  memBlock.io.wakeUpIn.fast <> wakeUpMem.flatMap(w => w.fast.map(f => {
	val raw = WireInit(f)
	raw
  }))
  memBlock.io.wakeUpIn.slow <> wakeUpMem.flatMap(w => w.slow.map(s => {
	val raw = WireInit(s)
	raw
  }))
L
LinJiawei 已提交
427

Y
Yinan Xu 已提交
428 429 430 431 432
  integerBlock.io.csrio.fflags <> ctrlBlock.io.roqio.toCSR.fflags
  integerBlock.io.csrio.dirty_fs <> ctrlBlock.io.roqio.toCSR.dirty_fs
  integerBlock.io.csrio.exception <> ctrlBlock.io.roqio.exception
  integerBlock.io.csrio.isInterrupt <> ctrlBlock.io.roqio.isInterrupt
  integerBlock.io.csrio.trapTarget <> ctrlBlock.io.roqio.toCSR.trapTarget
Y
Yinan Xu 已提交
433
  integerBlock.io.csrio.interrupt <> ctrlBlock.io.roqio.toCSR.intrBitSet
Y
Yinan Xu 已提交
434 435 436
  integerBlock.io.csrio.memExceptionVAddr <> memBlock.io.lsqio.exceptionAddr.vaddr
  integerBlock.io.csrio.externalInterrupt <> io.externalInterrupt
  integerBlock.io.csrio.tlb <> memBlock.io.tlbCsr
437
  integerBlock.io.csrio.perfinfo <> ctrlBlock.io.roqio.toCSR.perfinfo
Y
Yinan Xu 已提交
438 439 440 441 442 443 444 445 446 447
  integerBlock.io.fenceio.sfence <> memBlock.io.sfence
  integerBlock.io.fenceio.sbuffer <> memBlock.io.fenceToSbuffer

  floatBlock.io.frm <> integerBlock.io.csrio.frm

  memBlock.io.lsqio.commits <> ctrlBlock.io.roqio.commits
  memBlock.io.lsqio.roqDeqPtr <> ctrlBlock.io.roqio.roqDeqPtr
  memBlock.io.lsqio.exceptionAddr.lsIdx.lqIdx := ctrlBlock.io.roqio.exception.bits.lqIdx
  memBlock.io.lsqio.exceptionAddr.lsIdx.sqIdx := ctrlBlock.io.roqio.exception.bits.sqIdx
  memBlock.io.lsqio.exceptionAddr.isStore := CommitType.lsInstIsStore(ctrlBlock.io.roqio.exception.bits.ctrl.commitType)
448

Z
ZhangZifei 已提交
449 450 451
  val ptwRepester = Module(new PTWRepeater())
  ptwRepester.io.tlb <> frontend.io.ptw
  ptwRepester.io.sfence <> integerBlock.io.fenceio.sfence
452
  ptw.io.tlb(0) <> memBlock.io.ptw
Z
ZhangZifei 已提交
453
  ptw.io.tlb(1) <> ptwRepester.io.ptw
Y
Yinan Xu 已提交
454
  ptw.io.sfence <> integerBlock.io.fenceio.sfence
455
  ptw.io.csr    <> integerBlock.io.csrio.tlb
456

457
  l2Prefetcher.io.in <> memBlock.io.toDCachePrefetch
458

L
LinJiawei 已提交
459
  if (!env.FPGAPlatform) {
460 461 462 463
    val debugIntReg, debugFpReg = WireInit(VecInit(Seq.fill(32)(0.U(XLEN.W))))
    ExcitingUtils.addSink(debugIntReg, "DEBUG_INT_ARCH_REG", ExcitingUtils.Debug)
    ExcitingUtils.addSink(debugFpReg, "DEBUG_FP_ARCH_REG", ExcitingUtils.Debug)
    val debugArchReg = WireInit(VecInit(debugIntReg ++ debugFpReg))
L
LinJiawei 已提交
464 465 466
    ExcitingUtils.addSource(debugArchReg, "difftestRegs", ExcitingUtils.Debug)
  }

L
LinJiawei 已提交
467
}