LoadUnit.scala 13.4 KB
Newer Older
Y
Yinan Xu 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7
import xiangshan.backend.decode.ImmUnion
8 9
import xiangshan.cache._
// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp}
10
import xiangshan.backend.LSUOpType
Y
Yinan Xu 已提交
11

Y
Yinan Xu 已提交
12
class LoadToLsqIO extends XSBundle {
Y
Yinan Xu 已提交
13 14
  val loadIn = ValidIO(new LsPipelineBundle)
  val ldout = Flipped(DecoupledIO(new ExuOutput))
15
  val loadDataForwarded = Output(Bool())
16
  val needReplayFromRS = Output(Bool())
17
  val forward = new MaskedLoadForwardQueryIO
Y
Yinan Xu 已提交
18 19
}

Y
Yinan Xu 已提交
20 21 22
// Load Pipeline Stage 0
// Generate addr, use addr to query DCache and DTLB
class LoadUnit_S0 extends XSModule {
Y
Yinan Xu 已提交
23
  val io = IO(new Bundle() {
Y
Yinan Xu 已提交
24 25
    val in = Flipped(Decoupled(new ExuInput))
    val out = Decoupled(new LsPipelineBundle)
26
    val dtlbReq = DecoupledIO(new TlbReq)
27
    val dcacheReq = DecoupledIO(new DCacheWordReq)
28
    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
Y
Yinan Xu 已提交
29 30
  })

Y
Yinan Xu 已提交
31
  val s0_uop = io.in.bits.uop
W
William Wang 已提交
32 33 34 35 36 37 38 39 40 41
  // val s0_vaddr = io.in.bits.src1 + SignExt(s0_uop.ctrl.imm(11,0), VAddrBits)
  // val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0))
  val imm12 = WireInit(s0_uop.ctrl.imm(11,0))
  val s0_vaddr_lo = io.in.bits.src1(11,0) + Cat(0.U(1.W), imm12)
  val s0_vaddr_hi = Mux(s0_vaddr_lo(12), 
    Mux(imm12(11), io.in.bits.src1(VAddrBits-1, 12), io.in.bits.src1(VAddrBits-1, 12)+1.U),
    Mux(imm12(11), io.in.bits.src1(VAddrBits-1, 12)+SignExt(1.U, VAddrBits-12), io.in.bits.src1(VAddrBits-1, 12)),
  )
  val s0_vaddr = Cat(s0_vaddr_hi, s0_vaddr_lo(11,0))
  val s0_mask = genWmask(s0_vaddr_lo, s0_uop.ctrl.fuOpType(1,0))
Y
Yinan Xu 已提交
42 43

  // query DTLB
Y
Yinan Xu 已提交
44
  io.dtlbReq.valid := io.in.valid
45 46 47 48
  io.dtlbReq.bits.vaddr := s0_vaddr
  io.dtlbReq.bits.cmd := TlbCmd.read
  io.dtlbReq.bits.roqIdx := s0_uop.roqIdx
  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
Y
Yinan Xu 已提交
49 50

  // query DCache
Y
Yinan Xu 已提交
51
  io.dcacheReq.valid := io.in.valid
52 53 54
  io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
  io.dcacheReq.bits.addr := s0_vaddr
  io.dcacheReq.bits.mask := s0_mask
55 56 57
  io.dcacheReq.bits.data := DontCare

  // TODO: update cache meta
A
Allen 已提交
58
  io.dcacheReq.bits.id   := DontCare
Y
Yinan Xu 已提交
59 60 61 62 63 64

  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
    "b00".U   -> true.B,                   //b
    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
65
  ))
Y
Yinan Xu 已提交
66

67
  io.out.valid := io.in.valid && io.dcacheReq.ready
Y
Yinan Xu 已提交
68

Y
Yinan Xu 已提交
69 70 71 72 73
  io.out.bits := DontCare
  io.out.bits.vaddr := s0_vaddr
  io.out.bits.mask := s0_mask
  io.out.bits.uop := s0_uop
  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
74
  io.out.bits.rsIdx := io.rsIdx
Y
Yinan Xu 已提交
75

Y
Yinan Xu 已提交
76
  io.in.ready := !io.in.valid || (io.out.ready && io.dcacheReq.ready)
77

Y
Yinan Xu 已提交
78
  XSDebug(io.dcacheReq.fire(),
Y
Yinan Xu 已提交
79
    p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.cf.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n"
80
  )
Y
Yinan Xu 已提交
81 82 83 84 85 86 87 88 89
}


// Load Pipeline Stage 1
// TLB resp (send paddr to dcache)
class LoadUnit_S1 extends XSModule {
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
Y
Yinan Xu 已提交
90 91
    val dtlbResp = Flipped(DecoupledIO(new TlbResp))
    val dcachePAddr = Output(UInt(PAddrBits.W))
92
    val dcacheKill = Output(Bool())
93
    val sbuffer = new LoadForwardQueryIO
94
    val lsq = new MaskedLoadForwardQueryIO
Y
Yinan Xu 已提交
95
  })
96

Y
Yinan Xu 已提交
97
  val s1_uop = io.in.bits.uop
Y
Yinan Xu 已提交
98
  val s1_paddr = io.dtlbResp.bits.paddr
99
  val s1_exception = selectLoad(io.out.bits.uop.cf.exceptionVec, false).asUInt.orR
Y
Yinan Xu 已提交
100
  val s1_tlb_miss = io.dtlbResp.bits.miss
W
William Wang 已提交
101
  val s1_mmio = !s1_tlb_miss && io.dtlbResp.bits.mmio
102
  val s1_mask = io.in.bits.mask
Y
Yinan Xu 已提交
103

104
  io.out.bits := io.in.bits // forwardXX field will be updated in s1
Y
Yinan Xu 已提交
105 106 107

  io.dtlbResp.ready := true.B

Y
Yinan Xu 已提交
108
  // TOOD: PMA check
Y
Yinan Xu 已提交
109
  io.dcachePAddr := s1_paddr
Y
Yinan Xu 已提交
110
  io.dcacheKill := s1_tlb_miss || s1_exception || s1_mmio
111

112 113 114 115 116 117 118
  // load forward query datapath
  io.sbuffer.valid := io.in.valid
  io.sbuffer.paddr := s1_paddr
  io.sbuffer.uop := s1_uop
  io.sbuffer.sqIdx := s1_uop.sqIdx
  io.sbuffer.mask := s1_mask
  io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it
Y
Yinan Xu 已提交
119 120 121 122 123

  io.lsq.valid := io.in.valid
  io.lsq.paddr := s1_paddr
  io.lsq.uop := s1_uop
  io.lsq.sqIdx := s1_uop.sqIdx
124
  io.lsq.sqIdxMask := DontCare // will be overwritten by sqIdxMask pre-generated in s0
Y
Yinan Xu 已提交
125 126
  io.lsq.mask := s1_mask
  io.lsq.pc := s1_uop.cf.pc // FIXME: remove it
127

128
  io.out.valid := io.in.valid// && !s1_tlb_miss
Y
Yinan Xu 已提交
129
  io.out.bits.paddr := s1_paddr
Y
Yinan Xu 已提交
130
  io.out.bits.mmio := s1_mmio && !s1_exception
131
  io.out.bits.tlbMiss := s1_tlb_miss
Y
Yinan Xu 已提交
132
  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
W
William Wang 已提交
133
  io.out.bits.uop.cf.exceptionVec(loadAccessFault) := io.dtlbResp.bits.excp.af.ld
134
  io.out.bits.rsIdx := io.in.bits.rsIdx
Y
Yinan Xu 已提交
135

Y
Yinan Xu 已提交
136
  io.in.ready := !io.in.valid || io.out.ready
137

Y
Yinan Xu 已提交
138
}
139 140


Y
Yinan Xu 已提交
141 142
// Load Pipeline Stage 2
// DCache resp
L
LinJiawei 已提交
143
class LoadUnit_S2 extends XSModule with HasLoadHelper {
Y
Yinan Xu 已提交
144 145 146
  val io = IO(new Bundle() {
    val in = Flipped(Decoupled(new LsPipelineBundle))
    val out = Decoupled(new LsPipelineBundle)
147
    val tlbFeedback = ValidIO(new TlbFeedback)
148
    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
149
    val lsq = new LoadForwardQueryIO
150
    val sbuffer = new LoadForwardQueryIO
151
    val dataForwarded = Output(Bool())
152
    val needReplayFromRS = Output(Bool())
Y
Yinan Xu 已提交
153
  })
154

Y
Yinan Xu 已提交
155 156 157
  val s2_uop = io.in.bits.uop
  val s2_mask = io.in.bits.mask
  val s2_paddr = io.in.bits.paddr
158
  val s2_tlb_miss = io.in.bits.tlbMiss
159
  val s2_exception = selectLoad(io.in.bits.uop.cf.exceptionVec, false).asUInt.orR
160
  val s2_mmio = io.in.bits.mmio && !s2_exception
161
  val s2_cache_miss = io.dcacheResp.bits.miss
162
  val s2_cache_replay = io.dcacheResp.bits.replay
Y
Yinan Xu 已提交
163

164
  io.dcacheResp.ready := true.B
Y
Yinan Xu 已提交
165 166
  val dcacheShouldResp = !(s2_tlb_miss || s2_exception || s2_mmio)
  assert(!(io.in.valid && dcacheShouldResp && !io.dcacheResp.valid), "DCache response got lost")
Y
Yinan Xu 已提交
167

168 169
  // feedback tlb result to RS
  io.tlbFeedback.valid := io.in.valid
170
  io.tlbFeedback.bits.hit := !s2_tlb_miss && (!s2_cache_replay || s2_mmio)
171
  io.tlbFeedback.bits.rsIdx := io.in.bits.rsIdx
172
  io.needReplayFromRS := s2_cache_replay
173

174 175 176 177 178
  // merge forward result
  // lsq has higher priority than sbuffer
  val forwardMask = Wire(Vec(8, Bool()))
  val forwardData = Wire(Vec(8, UInt(8.W)))

Y
Yinan Xu 已提交
179
  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U
180 181 182 183 184 185 186 187
  io.lsq := DontCare
  io.sbuffer := DontCare

  // generate XLEN/8 Muxs
  for (i <- 0 until XLEN / 8) {
    forwardMask(i) := io.lsq.forwardMask(i) || io.sbuffer.forwardMask(i)
    forwardData(i) := Mux(io.lsq.forwardMask(i), io.lsq.forwardData(i), io.sbuffer.forwardData(i))
  }
Y
Yinan Xu 已提交
188

189 190 191 192 193 194
  XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
    s2_uop.cf.pc,
    io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt,
    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt
  )

Y
Yinan Xu 已提交
195
  // data merge
196 197 198
  val rdataVec = VecInit((0 until XLEN / 8).map(j =>
    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j))))
  val rdata = rdataVec.asUInt
Y
Yinan Xu 已提交
199
  val rdataSel = LookupTree(s2_paddr(2, 0), List(
Y
Yinan Xu 已提交
200 201 202 203 204 205 206 207 208
    "b000".U -> rdata(63, 0),
    "b001".U -> rdata(63, 8),
    "b010".U -> rdata(63, 16),
    "b011".U -> rdata(63, 24),
    "b100".U -> rdata(63, 32),
    "b101".U -> rdata(63, 40),
    "b110".U -> rdata(63, 48),
    "b111".U -> rdata(63, 56)
  ))
L
LinJiawei 已提交
209
  val rdataPartialLoad = rdataHelper(s2_uop, rdataSel)
Y
Yinan Xu 已提交
210

211
  io.out.valid := io.in.valid && !s2_tlb_miss
Y
Yinan Xu 已提交
212
  // Inst will be canceled in store queue / lsq,
213
  // so we do not need to care about flush in load / store unit's out.valid
Y
Yinan Xu 已提交
214 215
  io.out.bits := io.in.bits
  io.out.bits.data := rdataPartialLoad
216
  // when exception occurs, set it to not miss and let it write back to roq (via int port)
217
  io.out.bits.miss := s2_cache_miss && !s2_exception
218
  io.out.bits.uop.ctrl.fpWen := io.in.bits.uop.ctrl.fpWen && !s2_exception
219
  io.out.bits.mmio := s2_mmio
Y
Yinan Xu 已提交
220

221 222 223 224 225 226
  // For timing reasons, we can not let
  // io.out.bits.miss := s2_cache_miss && !s2_exception && !fullForward
  // We use io.dataForwarded instead. It means forward logic have prepared all data needed,
  // and dcache query is no longer needed.
  // Such inst will be writebacked from load queue.
  io.dataForwarded := s2_cache_miss && fullForward && !s2_exception
227 228 229 230
  // io.out.bits.forwardX will be send to lq
  io.out.bits.forwardMask := forwardMask 
  // data retbrived from dcache is also included in io.out.bits.forwardData
  io.out.bits.forwardData := rdataVec
231

Y
Yinan Xu 已提交
232 233
  io.in.ready := io.out.ready || !io.in.valid

Y
Yinan Xu 已提交
234
  XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n",
235
    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
236
    forwardData.asUInt, forwardMask.asUInt
Y
Yinan Xu 已提交
237
  )
Y
Yinan Xu 已提交
238
}
Y
Yinan Xu 已提交
239

240
class LoadUnit extends XSModule with HasLoadHelper {
Y
Yinan Xu 已提交
241 242 243
  val io = IO(new Bundle() {
    val ldin = Flipped(Decoupled(new ExuInput))
    val ldout = Decoupled(new ExuOutput)
244
    val fpout = Decoupled(new ExuOutput)
Y
Yinan Xu 已提交
245
    val redirect = Flipped(ValidIO(new Redirect))
246
    val flush = Input(Bool())
Y
Yinan Xu 已提交
247
    val tlbFeedback = ValidIO(new TlbFeedback)
248
    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
249
    val dcache = new DCacheLoadIO
Y
Yinan Xu 已提交
250 251
    val dtlb = new TlbRequestIO()
    val sbuffer = new LoadForwardQueryIO
Y
Yinan Xu 已提交
252
    val lsq = new LoadToLsqIO
Y
Yinan Xu 已提交
253 254 255 256 257 258 259
  })

  val load_s0 = Module(new LoadUnit_S0)
  val load_s1 = Module(new LoadUnit_S1)
  val load_s2 = Module(new LoadUnit_S2)

  load_s0.io.in <> io.ldin
260 261
  load_s0.io.dtlbReq <> io.dtlb.req
  load_s0.io.dcacheReq <> io.dcache.req
262
  load_s0.io.rsIdx := io.rsIdx
Y
Yinan Xu 已提交
263

264
  PipelineConnect(load_s0.io.out, load_s1.io.in, true.B, load_s0.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush))
Y
Yinan Xu 已提交
265

Y
Yinan Xu 已提交
266 267
  load_s1.io.dtlbResp <> io.dtlb.resp
  io.dcache.s1_paddr <> load_s1.io.dcachePAddr
268
  io.dcache.s1_kill <> load_s1.io.dcacheKill
Y
Yinan Xu 已提交
269 270
  load_s1.io.sbuffer <> io.sbuffer
  load_s1.io.lsq <> io.lsq.forward
Y
Yinan Xu 已提交
271

272
  PipelineConnect(load_s1.io.out, load_s2.io.in, true.B, load_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush))
Y
Yinan Xu 已提交
273

274
  load_s2.io.dcacheResp <> io.dcache.resp
Y
Yinan Xu 已提交
275 276
  load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData
  load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask
277 278
  load_s2.io.sbuffer.forwardData <> io.sbuffer.forwardData
  load_s2.io.sbuffer.forwardMask <> io.sbuffer.forwardMask
279
  load_s2.io.dataForwarded <> io.lsq.loadDataForwarded
280
  io.tlbFeedback.bits := RegNext(load_s2.io.tlbFeedback.bits)
281
  io.tlbFeedback.valid := RegNext(load_s2.io.tlbFeedback.valid && !load_s2.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush))
W
William Wang 已提交
282
  io.lsq.needReplayFromRS := load_s2.io.needReplayFromRS
Y
Yinan Xu 已提交
283

284 285 286 287
  // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding
  val sqIdxMaskReg = RegNext(UIntToMask(load_s0.io.in.bits.uop.sqIdx.value, StoreQueueSize))
  io.lsq.forward.sqIdxMask := sqIdxMaskReg

W
William Wang 已提交
288 289 290 291
  // use s2_hit_way to select data received in s1
  load_s2.io.dcacheResp.bits.data := Mux1H(io.dcache.s2_hit_way, RegNext(io.dcache.s1_data))
  assert(load_s2.io.dcacheResp.bits.data === io.dcache.resp.bits.data)

Y
Yinan Xu 已提交
292
  XSDebug(load_s0.io.out.valid,
293
    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
Y
Yinan Xu 已提交
294
    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
Y
Yinan Xu 已提交
295 296
  XSDebug(load_s1.io.out.valid,
    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.dtlb.resp.bits.miss}, " +
297
    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
Y
Yinan Xu 已提交
298

Y
Yinan Xu 已提交
299
  // writeback to LSQ
Y
Yinan Xu 已提交
300
  // Current dcache use MSHR
301
  // Load queue will be updated at s2 for both hit/miss int/fp load
Y
Yinan Xu 已提交
302 303
  io.lsq.loadIn.valid := load_s2.io.out.valid
  io.lsq.loadIn.bits := load_s2.io.out.bits
304 305 306

  // write to rob and writeback bus
  val s2_wb_valid = load_s2.io.out.valid && !load_s2.io.out.bits.miss
307
  val refillFpLoad = io.lsq.ldout.bits.uop.ctrl.fpWen
Y
Yinan Xu 已提交
308

309
  // Int load, if hit, will be writebacked at s2
310
  val intHitLoadOut = Wire(Valid(new ExuOutput))
311
  intHitLoadOut.valid := s2_wb_valid && !load_s2.io.out.bits.uop.ctrl.fpWen
312 313 314 315 316
  intHitLoadOut.bits.uop := load_s2.io.out.bits.uop
  intHitLoadOut.bits.data := load_s2.io.out.bits.data
  intHitLoadOut.bits.redirectValid := false.B
  intHitLoadOut.bits.redirect := DontCare
  intHitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
317
  intHitLoadOut.bits.debug.isPerfCnt := false.B
318
  intHitLoadOut.bits.debug.paddr := load_s2.io.out.bits.paddr
319
  intHitLoadOut.bits.fflags := DontCare
Y
Yinan Xu 已提交
320

Y
Yinan Xu 已提交
321
  load_s2.io.out.ready := true.B
322

323 324
  io.ldout.bits := Mux(intHitLoadOut.valid, intHitLoadOut.bits, io.lsq.ldout.bits)
  io.ldout.valid := intHitLoadOut.valid || io.lsq.ldout.valid && !refillFpLoad
325

326
  // Fp load, if hit, will be stored to reg at s2, then it will be recoded at s3, writebacked at s4
327
  val fpHitLoadOut = Wire(Valid(new ExuOutput))
328
  fpHitLoadOut.valid := s2_wb_valid && load_s2.io.out.bits.uop.ctrl.fpWen
329 330
  fpHitLoadOut.bits := intHitLoadOut.bits

331 332 333 334 335
  val fpLoadUnRecodedReg = Reg(Valid(new ExuOutput))
  fpLoadUnRecodedReg.valid := fpHitLoadOut.valid || io.lsq.ldout.valid && refillFpLoad
  when(fpHitLoadOut.valid || io.lsq.ldout.valid && refillFpLoad){
    fpLoadUnRecodedReg.bits := Mux(fpHitLoadOut.valid, fpHitLoadOut.bits, io.lsq.ldout.bits)
  }
336

337 338 339 340 341 342 343 344 345
  val fpLoadRecodedReg = Reg(Valid(new ExuOutput))
  when(fpLoadUnRecodedReg.valid){
    fpLoadRecodedReg := fpLoadUnRecodedReg
    fpLoadRecodedReg.bits.data := fpRdataHelper(fpLoadUnRecodedReg.bits.uop, fpLoadUnRecodedReg.bits.data) // recode
  }
  fpLoadRecodedReg.valid := fpLoadUnRecodedReg.valid
  
  io.fpout.bits := fpLoadRecodedReg.bits
  io.fpout.valid := fpLoadRecodedReg.valid
346

Fa_wang's avatar
Fa_wang 已提交
347
  io.lsq.ldout.ready := Mux(refillFpLoad, !fpHitLoadOut.valid, !intHitLoadOut.valid)
348

349
  when(io.ldout.fire()){
350 351 352 353 354
    XSDebug("ldout %x\n", io.ldout.bits.uop.cf.pc)
  }

  when(io.fpout.fire()){
    XSDebug("fpout %x\n", io.fpout.bits.uop.cf.pc)
355
  }
356
}