IFU.scala 33.0 KB
Newer Older
L
Lingrui98 已提交
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
* Copyright (c) 2020-2021 Peng Cheng Laboratory
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

J
JinYue 已提交
17 18 19 20 21
package xiangshan.frontend

import chipsalliance.rocketchip.config.Parameters
import chisel3._
import chisel3.util._
J
Jay 已提交
22
import freechips.rocketchip.rocket.RVCDecoder
J
JinYue 已提交
23
import xiangshan._
J
JinYue 已提交
24
import xiangshan.cache.mmu._
25
import xiangshan.frontend.icache._
J
JinYue 已提交
26
import utils._
L
Lemover 已提交
27
import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
J
JinYue 已提交
28

29 30
trait HasInstrMMIOConst extends HasXSParameter with HasIFUConst{
  def mmioBusWidth = 64
31
  def mmioBusBytes = mmioBusWidth / 8
32
  def maxInstrLen = 32
33 34
}

J
Jay 已提交
35
trait HasIFUConst extends HasXSParameter{
36 37 38
  def addrAlign(addr: UInt, bytes: Int, highest: Int): UInt = Cat(addr(highest-1, log2Ceil(bytes)), 0.U(log2Ceil(bytes).W))
  def fetchQueueSize = 2

J
Jay 已提交
39 40 41
  def getBasicBlockIdx( pc: UInt, start:  UInt ): UInt = {
    val byteOffset = pc - start
    (byteOffset - instBytes.U)(log2Ceil(PredictWidth),instOffsetBits)
42
  }
43
}
44

45 46 47 48
class IfuToFtqIO(implicit p:Parameters) extends XSBundle {
  val pdWb = Valid(new PredecodeWritebackBundle)
}

J
JinYue 已提交
49
class FtqInterface(implicit p: Parameters) extends XSBundle {
Z
zoujr 已提交
50
  val fromFtq = Flipped(new FtqToIfuIO)
Y
Yinan Xu 已提交
51
  val toFtq   = new IfuToFtqIO
52 53
}

54 55 56 57
class UncacheInterface(implicit p: Parameters) extends XSBundle {
  val fromUncache = Flipped(DecoupledIO(new InsUncacheResp))
  val toUncache   = DecoupledIO( new InsUncacheReq )
}
58
class NewIFUIO(implicit p: Parameters) extends XSBundle {
Y
Yinan Xu 已提交
59
  val ftqInter        = new FtqInterface
60 61 62
  val icacheInter     = Vec(2, Flipped(new ICacheMainPipeBundle))
  val icacheStop      = Output(Bool())
  val icachePerfInfo  = Input(new ICachePerfInfo)
63
  val toIbuffer       = Decoupled(new FetchToIBuffer)
64
  val uncacheInter   =  new UncacheInterface
65 66
  val frontendTrigger = Flipped(new FrontendTdataDistributeIO)
  val csrTriggerEnable = Input(Vec(4, Bool()))
67
  val rob_commits = Flipped(Vec(CommitWidth, Valid(new RobCommitInfo)))
68
  val iTLBInter       = new BlockTlbRequestIO
69
  val pmp             =   new ICachePMPBundle
J
JinYue 已提交
70 71
}

72 73 74 75 76 77 78 79
// record the situation in which fallThruAddr falls into
// the middle of an RVI inst
class LastHalfInfo(implicit p: Parameters) extends XSBundle {
  val valid = Bool()
  val middlePC = UInt(VAddrBits.W)
  def matchThisBlock(startAddr: UInt) = valid && middlePC === startAddr
}

80
class IfuToPreDecode(implicit p: Parameters) extends XSBundle {
J
Jay 已提交
81 82 83 84 85 86 87 88
  val data                =  if(HasCExtension) Vec(PredictWidth + 1, UInt(16.W)) else Vec(PredictWidth, UInt(32.W))
  val frontendTrigger     = new FrontendTdataDistributeIO
  val csrTriggerEnable    = Vec(4, Bool())
  val pc                  = Vec(PredictWidth, UInt(VAddrBits.W))
}


class IfuToPredChecker(implicit p: Parameters) extends XSBundle {
89
  val ftqOffset     = Valid(UInt(log2Ceil(PredictWidth).W))
J
Jay 已提交
90
  val jumpOffset    = Vec(PredictWidth, UInt(XLEN.W))
J
jinyue110 已提交
91
  val target        = UInt(VAddrBits.W)
J
Jay 已提交
92 93 94 95
  val instrRange    = Vec(PredictWidth, Bool())
  val instrValid    = Vec(PredictWidth, Bool())
  val pds           = Vec(PredictWidth, new PreDecodeInfo)
  val pc            = Vec(PredictWidth, UInt(VAddrBits.W))
96 97
}

J
Jay 已提交
98 99 100 101 102 103
class NewIFU(implicit p: Parameters) extends XSModule
  with HasICacheParameters
  with HasIFUConst
  with HasPdConst
  with HasCircularQueuePtrHelper
  with HasPerfEvents
J
JinYue 已提交
104
{
J
JinYue 已提交
105
  val io = IO(new NewIFUIO)
106
  val (toFtq, fromFtq)    = (io.ftqInter.toFtq, io.ftqInter.fromFtq)
107
  val (toICache, fromICache) = (VecInit(io.icacheInter.map(_.req)), VecInit(io.icacheInter.map(_.resp)))
108
  val (toUncache, fromUncache) = (io.uncacheInter.toUncache , io.uncacheInter.fromUncache)
Y
Yinan Xu 已提交
109

110
  def isCrossLineReq(start: UInt, end: UInt): Bool = start(blockOffBits) ^ end(blockOffBits)
111

J
JinYue 已提交
112
  def isLastInCacheline(addr: UInt): Bool = addr(blockOffBits - 1, 1) === 0.U
113

114 115 116 117
  class TlbExept(implicit p: Parameters) extends XSBundle{
    val pageFault = Bool()
    val accessFault = Bool()
    val mmio = Bool()
118
  }
J
JinYue 已提交
119

J
Jay 已提交
120 121 122 123 124
  val preDecoder      = Module(new PreDecode)
  val predChecker     = Module(new PredChecker)
  val frontendTrigger = Module(new FrontendTrigger)
  val (preDecoderIn, preDecoderOut)   = (preDecoder.io.in, preDecoder.io.out)
  val (checkerIn, checkerOut)         = (predChecker.io.in, predChecker.io.out)
125

126
  io.iTLBInter.resp.ready := true.B
127

128 129 130 131 132 133
  /**
    ******************************************************************************
    * IFU Stage 0
    * - send cacheline fetch request to ICacheMainPipe
    ******************************************************************************
    */
Y
Yinan Xu 已提交
134

J
JinYue 已提交
135
  val f0_valid                             = fromFtq.req.valid
Z
zoujr 已提交
136
  val f0_ftq_req                           = fromFtq.req.bits
J
JinYue 已提交
137
  val f0_doubleLine                        = fromFtq.req.bits.crossCacheline
J
JinYue 已提交
138
  val f0_vSetIdx                           = VecInit(get_idx((f0_ftq_req.startAddr)), get_idx(f0_ftq_req.nextlineStart))
Z
zoujr 已提交
139
  val f0_fire                              = fromFtq.req.fire()
J
JinYue 已提交
140

J
JinYue 已提交
141
  val f0_flush, f1_flush, f2_flush, f3_flush = WireInit(false.B)
142
  val from_bpu_f0_flush, from_bpu_f1_flush, from_bpu_f2_flush, from_bpu_f3_flush = WireInit(false.B)
Y
Yinan Xu 已提交
143

L
Lingrui98 已提交
144 145
  from_bpu_f0_flush := fromFtq.flushFromBpu.shouldFlushByStage2(f0_ftq_req.ftqIdx) ||
                       fromFtq.flushFromBpu.shouldFlushByStage3(f0_ftq_req.ftqIdx)
146

J
Jay 已提交
147 148 149 150 151 152
  val wb_redirect , mmio_redirect,  backend_redirect= WireInit(false.B)
  val f3_wb_not_flush = WireInit(false.B)

  backend_redirect := fromFtq.redirect.valid
  f3_flush := backend_redirect || (wb_redirect && !f3_wb_not_flush)
  f2_flush := backend_redirect || mmio_redirect || wb_redirect
153 154
  f1_flush := f2_flush || from_bpu_f1_flush
  f0_flush := f1_flush || from_bpu_f0_flush
J
JinYue 已提交
155

J
JinYue 已提交
156 157
  val f1_ready, f2_ready, f3_ready         = WireInit(false.B)

158
  fromFtq.req.ready := toICache(0).ready && toICache(1).ready && f1_ready //&& GTimer() > 500.U
J
jinyue110 已提交
159

160
  toICache(0).valid       := fromFtq.req.valid //&& !f0_flush
161
  toICache(0).bits.vaddr  := fromFtq.req.bits.startAddr
162
  toICache(1).valid       := fromFtq.req.valid && f0_doubleLine //&& !f0_flush
J
JinYue 已提交
163
  toICache(1).bits.vaddr  := fromFtq.req.bits.nextlineStart//fromFtq.req.bits.startAddr + (PredictWidth * 2).U //TODO: timing critical
J
JinYue 已提交
164

165
  /** <PERF> f0 fetch bubble */
J
jinyue110 已提交
166

167
  XSPerfAccumulate("fetch_bubble_ftq_not_valid",   !fromFtq.req.valid && fromFtq.req.ready  )
168
  XSPerfAccumulate("fetch_bubble_pipe_stall",    f0_valid && toICache(0).ready && toICache(1).ready && !f1_ready )
169 170 171 172 173 174
  XSPerfAccumulate("fetch_bubble_icache_0_busy",   f0_valid && !toICache(0).ready  )
  XSPerfAccumulate("fetch_bubble_icache_1_busy",   f0_valid && !toICache(1).ready  )
  XSPerfAccumulate("fetch_flush_backend_redirect",   backend_redirect  )
  XSPerfAccumulate("fetch_flush_wb_redirect",    wb_redirect  )
  XSPerfAccumulate("fetch_flush_bpu_f1_flush",   from_bpu_f1_flush  )
  XSPerfAccumulate("fetch_flush_bpu_f0_flush",   from_bpu_f0_flush  )
175 176 177 178 179 180 181 182


  /**
    ******************************************************************************
    * IFU Stage 1
    * - calculate pc/half_pc/cut_ptr for every instruction
    ******************************************************************************
    */
J
jinyue110 已提交
183

J
JinYue 已提交
184
  val f1_valid      = RegInit(false.B)
185 186 187 188
  val f1_ftq_req    = RegEnable(f0_ftq_req,    f0_fire)
  // val f1_situation  = RegEnable(f0_situation,  f0_fire)
  val f1_doubleLine = RegEnable(f0_doubleLine, f0_fire)
  val f1_vSetIdx    = RegEnable(f0_vSetIdx,    f0_fire)
189
  val f1_fire       = f1_valid && f2_ready
J
JinYue 已提交
190

191
  f1_ready := f1_fire || !f1_valid
Z
zoujr 已提交
192

193
  from_bpu_f1_flush := fromFtq.flushFromBpu.shouldFlushByStage3(f1_ftq_req.ftqIdx) && f1_valid
L
Lingrui98 已提交
194
  // from_bpu_f1_flush := false.B
195

L
Lingrui98 已提交
196 197 198
  when(f1_flush)                  {f1_valid  := false.B}
  .elsewhen(f0_fire && !f0_flush) {f1_valid  := true.B}
  .elsewhen(f1_fire)              {f1_valid  := false.B}
J
JinYue 已提交
199

J
Jay 已提交
200 201 202 203
  val f1_pc                 = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + (i * 2).U))
  val f1_half_snpc          = VecInit((0 until PredictWidth).map(i => f1_ftq_req.startAddr + ((i+2) * 2).U))
  val f1_cut_ptr            = if(HasCExtension)  VecInit((0 until PredictWidth + 1).map(i =>  Cat(0.U(1.W), f1_ftq_req.startAddr(blockOffBits-1, 1)) + i.U ))
                                  else           VecInit((0 until PredictWidth).map(i =>     Cat(0.U(1.W), f1_ftq_req.startAddr(blockOffBits-1, 2)) + i.U ))
J
JinYue 已提交
204

205 206 207 208 209 210 211 212 213 214 215
  /**
    ******************************************************************************
    * IFU Stage 2
    * - icache response data (latched for pipeline stop)
    * - generate exceprion bits for every instruciton (page fault/access fault/mmio)
    * - generate predicted instruction range (1 means this instruciton is in this fetch packet)
    * - cut data from cachlines to packet instruction code
    * - instruction predecode and RVC expand
    ******************************************************************************
    */

216
  val icacheRespAllValid = WireInit(false.B)
217

218
  val f2_valid      = RegInit(false.B)
219 220 221 222
  val f2_ftq_req    = RegEnable(f1_ftq_req,    f1_fire)
  // val f2_situation  = RegEnable(f1_situation,  f1_fire)
  val f2_doubleLine = RegEnable(f1_doubleLine, f1_fire)
  val f2_vSetIdx    = RegEnable(f1_vSetIdx,    f1_fire)
223
  val f2_fire       = f2_valid && f3_ready && icacheRespAllValid
224

225
  f2_ready := f2_fire || !f2_valid
226
  //TODO: addr compare may be timing critical
J
JinYue 已提交
227
  val f2_icache_all_resp_wire       =  fromICache(0).valid && (fromICache(0).bits.vaddr ===  f2_ftq_req.startAddr) && ((fromICache(1).valid && (fromICache(1).bits.vaddr ===  f2_ftq_req.nextlineStart)) || !f2_doubleLine)
228
  val f2_icache_all_resp_reg        = RegInit(false.B)
J
JinYue 已提交
229

230
  icacheRespAllValid := f2_icache_all_resp_reg || f2_icache_all_resp_wire
231

232
  io.icacheStop := !f3_ready
J
JinYue 已提交
233

234 235 236
  when(f2_flush)                                              {f2_icache_all_resp_reg := false.B}
  .elsewhen(f2_valid && f2_icache_all_resp_wire && !f3_ready) {f2_icache_all_resp_reg := true.B}
  .elsewhen(f2_fire && f2_icache_all_resp_reg)                {f2_icache_all_resp_reg := false.B}
J
JinYue 已提交
237

L
Lingrui98 已提交
238 239
  when(f2_flush)                  {f2_valid := false.B}
  .elsewhen(f1_fire && !f1_flush) {f2_valid := true.B }
J
JinYue 已提交
240 241
  .elsewhen(f2_fire)              {f2_valid := false.B}

242 243 244
  // val f2_cache_response_data = ResultHoldBypass(valid = f2_icache_all_resp_wire, data = VecInit(fromICache.map(_.bits.readData)))
  val f2_cache_response_data = VecInit(fromICache.map(_.bits.readData))

J
jinyue110 已提交
245

246 247
  val f2_except_pf    = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.pageFault))
  val f2_except_af    = VecInit((0 until PortNumber).map(i => fromICache(i).bits.tlbExcp.accessFault))
248
  val f2_mmio         = fromICache(0).bits.tlbExcp.mmio && !fromICache(0).bits.tlbExcp.accessFault &&
R
rvcoresjw 已提交
249
                                                           !fromICache(0).bits.tlbExcp.pageFault
J
jinyue110 已提交
250

251 252 253
  val f2_pc               = RegEnable(f1_pc,  f1_fire)
  val f2_half_snpc        = RegEnable(f1_half_snpc,  f1_fire)
  val f2_cut_ptr          = RegEnable(f1_cut_ptr,  f1_fire)
254

255
  val f2_resend_vaddr     = RegEnable(f1_ftq_req.startAddr + 2.U,  f1_fire)
J
Jay 已提交
256 257 258

  def isNextLine(pc: UInt, startAddr: UInt) = {
    startAddr(blockOffBits) ^ pc(blockOffBits)
J
JinYue 已提交
259 260
  }

J
Jay 已提交
261 262
  def isLastInLine(pc: UInt) = {
    pc(blockOffBits - 1, 0) === "b111110".U
263
  }
Y
Yinan Xu 已提交
264

J
Jay 已提交
265 266
  val f2_foldpc = VecInit(f2_pc.map(i => XORFold(i(VAddrBits-1,1), MemPredPCWidth)))
  val f2_jump_range = Fill(PredictWidth, !f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~f2_ftq_req.ftqOffset.bits
J
JinYue 已提交
267
  val f2_ftr_range  = Fill(PredictWidth,  f2_ftq_req.ftqOffset.valid) | Fill(PredictWidth, 1.U(1.W)) >> ~getBasicBlockIdx(f2_ftq_req.nextStartAddr, f2_ftq_req.startAddr)
J
Jay 已提交
268 269 270
  val f2_instr_range = f2_jump_range & f2_ftr_range
  val f2_pf_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_pf(0)   ||  isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine &&  f2_except_pf(1))))
  val f2_af_vec = VecInit((0 until PredictWidth).map(i => (!isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_except_af(0)   ||  isNextLine(f2_pc(i), f2_ftq_req.startAddr) && f2_doubleLine && f2_except_af(1))))
J
JinYue 已提交
271

272 273
  val f2_paddrs       = VecInit((0 until PortNumber).map(i => fromICache(i).bits.paddr))
  val f2_perf_info    = io.icachePerfInfo
J
JinYue 已提交
274

J
Jay 已提交
275
  def cut(cacheline: UInt, cutPtr: Vec[UInt]) : Vec[UInt] ={
276 277 278 279
    if(HasCExtension){
      val result   = Wire(Vec(PredictWidth + 1, UInt(16.W)))
      val dataVec  = cacheline.asTypeOf(Vec(blockBytes * 2/ 2, UInt(16.W)))
      (0 until PredictWidth + 1).foreach( i =>
J
Jay 已提交
280
        result(i) := dataVec(cutPtr(i))
281
      )
Y
Yinan Xu 已提交
282
      result
283 284 285 286
    } else {
      val result   = Wire(Vec(PredictWidth, UInt(32.W)) )
      val dataVec  = cacheline.asTypeOf(Vec(blockBytes * 2/ 4, UInt(32.W)))
      (0 until PredictWidth).foreach( i =>
J
Jay 已提交
287
        result(i) := dataVec(cutPtr(i))
288
      )
Y
Yinan Xu 已提交
289
      result
290
    }
291 292
  }

J
Jay 已提交
293 294
  val f2_datas        = VecInit((0 until PortNumber).map(i => f2_cache_response_data(i)))
  val f2_cut_data = cut( Cat(f2_datas.map(cacheline => cacheline.asUInt ).reverse).asUInt, f2_cut_ptr )
J
JinYue 已提交
295

296
  /** predecode (include RVC expander) */
J
Jay 已提交
297 298 299 300
  preDecoderIn.data := f2_cut_data
  preDecoderIn.frontendTrigger := io.frontendTrigger
  preDecoderIn.csrTriggerEnable := io.csrTriggerEnable
  preDecoderIn.pc  := f2_pc
J
JinYue 已提交
301

J
Jay 已提交
302 303 304 305 306
  val f2_expd_instr   = preDecoderOut.expInstr
  val f2_pd           = preDecoderOut.pd
  val f2_jump_offset  = preDecoderOut.jumpOffset
  val f2_hasHalfValid  =  preDecoderOut.hasHalfValid
  val f2_crossPageFault = VecInit((0 until PredictWidth).map(i => isLastInLine(f2_pc(i)) && !f2_except_pf(0) && f2_doubleLine &&  f2_except_pf(1) && !f2_pd(i).isRVC ))
J
JinYue 已提交
307

308
  val predecodeOutValid = WireInit(false.B)
J
JinYue 已提交
309

310 311
  XSPerfAccumulate("fetch_bubble_icache_not_resp",   f2_valid && !icacheRespAllValid )

J
JinYue 已提交
312

313 314 315 316 317 318 319 320 321 322
  /**
    ******************************************************************************
    * IFU Stage 3
    * - handle MMIO instruciton
    *  -send request to Uncache fetch Unit
    *  -every packet include 1 MMIO instruction
    *  -MMIO instructions will stop fetch pipeline until commiting from RoB
    *  -flush to snpc (send ifu_redirect to Ftq)
    * - Ibuffer enqueue
    * - check predict result in Frontend (jalFault/retFault/notCFIFault/invalidTakenFault/targetFault)
323
    * - handle last half RVI instruction
324 325 326
    ******************************************************************************
    */

J
JinYue 已提交
327
  val f3_valid          = RegInit(false.B)
328 329 330
  val f3_ftq_req        = RegEnable(f2_ftq_req,    f2_fire)
  // val f3_situation      = RegEnable(f2_situation,  f2_fire)
  val f3_doubleLine     = RegEnable(f2_doubleLine, f2_fire)
331 332
  val f3_fire           = io.toIbuffer.fire()

333
  f3_ready := f3_fire || !f3_valid
J
JinYue 已提交
334

335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351
  val f3_cut_data       = RegEnable(f2_cut_data, f2_fire)

  val f3_except_pf      = RegEnable(f2_except_pf,  f2_fire)
  val f3_except_af      = RegEnable(f2_except_af,  f2_fire)
  val f3_mmio           = RegEnable(f2_mmio   ,  f2_fire)

  val f3_expd_instr     = RegEnable(f2_expd_instr,   f2_fire)
  val f3_pd             = RegEnable(f2_pd,           f2_fire)
  val f3_jump_offset    = RegEnable(f2_jump_offset,  f2_fire)
  val f3_af_vec         = RegEnable(f2_af_vec,       f2_fire)
  val f3_pf_vec         = RegEnable(f2_pf_vec ,      f2_fire)
  val f3_pc             = RegEnable(f2_pc,           f2_fire)
  val f3_half_snpc        = RegEnable(f2_half_snpc,  f2_fire)
  val f3_instr_range    = RegEnable(f2_instr_range,  f2_fire)
  val f3_foldpc         = RegEnable(f2_foldpc,       f2_fire)
  val f3_crossPageFault = RegEnable(f2_crossPageFault,       f2_fire)
  val f3_hasHalfValid   = RegEnable(f2_hasHalfValid,       f2_fire)
J
JinYue 已提交
352 353
  val f3_except         = VecInit((0 until 2).map{i => f3_except_pf(i) || f3_except_af(i)})
  val f3_has_except     = f3_valid && (f3_except_af.reduce(_||_) || f3_except_pf.reduce(_||_))
354 355
  val f3_pAddrs   = RegEnable(f2_paddrs,  f2_fire)
  val f3_resend_vaddr   = RegEnable(f2_resend_vaddr,       f2_fire)
356

J
JinYue 已提交
357 358 359
  when(f3_valid && !f3_ftq_req.ftqOffset.valid){
    assert(f3_ftq_req.startAddr + 32.U >= f3_ftq_req.nextStartAddr , "More tha 32 Bytes fetch is not allowed!")
  }
360

J
Jay 已提交
361
  /*** MMIO State Machine***/
362 363 364 365
  val f3_mmio_data    = Reg(Vec(2, UInt(16.W)))
  val mmio_is_RVC     = RegInit(false.B)
  val mmio_resend_addr =RegInit(0.U(PAddrBits.W))
  val mmio_resend_af  = RegInit(false.B)
366 367
  val mmio_resend_pf  = RegInit(false.B)

368

369 370
  val m_idle :: m_sendReq :: m_waitResp :: m_sendTLB :: m_tlbResp :: m_sendPMP :: m_resendReq :: m_waitResendResp :: m_waitCommit :: m_commited :: Nil = Enum(10)
  val mmio_state = RegInit(m_idle)
371

372
  val f3_req_is_mmio     = f3_mmio && f3_valid
J
Jay 已提交
373
  val mmio_commit = VecInit(io.rob_commits.map{commit => commit.valid && commit.bits.ftqIdx === f3_ftq_req.ftqIdx &&  commit.bits.ftqOffset === 0.U}).asUInt.orR
374
  val f3_mmio_req_commit = f3_req_is_mmio && mmio_state === m_commited
375

376
  val f3_mmio_to_commit =  f3_req_is_mmio && mmio_state === m_waitCommit
377 378 379
  val f3_mmio_to_commit_next = RegNext(f3_mmio_to_commit)
  val f3_mmio_can_go      = f3_mmio_to_commit && !f3_mmio_to_commit_next

380 381 382
  val fromFtqRedirectReg = RegNext(fromFtq.redirect)
  val f3_ftq_flush_self     = fromFtqRedirectReg.valid && RedirectLevel.flushItself(fromFtqRedirectReg.bits.level)
  val f3_ftq_flush_by_older = fromFtqRedirectReg.valid && isBefore(fromFtqRedirectReg.bits.ftqIdx, f3_ftq_req.ftqIdx)
383

384
  val f3_need_not_flush = f3_req_is_mmio && fromFtqRedirectReg.valid && !f3_ftq_flush_self && !f3_ftq_flush_by_older
385 386

  when(f3_flush && !f3_need_not_flush)               {f3_valid := false.B}
J
Jay 已提交
387 388 389
  .elsewhen(f2_fire && !f2_flush )                   {f3_valid := true.B }
  .elsewhen(io.toIbuffer.fire() && !f3_req_is_mmio)          {f3_valid := false.B}
  .elsewhen{f3_req_is_mmio && f3_mmio_req_commit}            {f3_valid := false.B}
390 391 392

  val f3_mmio_use_seq_pc = RegInit(false.B)

393 394
  val (redirect_ftqIdx, redirect_ftqOffset)  = (fromFtqRedirectReg.bits.ftqIdx,fromFtqRedirectReg.bits.ftqOffset)
  val redirect_mmio_req = fromFtqRedirectReg.valid && redirect_ftqIdx === f3_ftq_req.ftqIdx && redirect_ftqOffset === 0.U
395 396 397 398 399 400

  when(RegNext(f2_fire && !f2_flush) && f3_req_is_mmio)        { f3_mmio_use_seq_pc := true.B  }
  .elsewhen(redirect_mmio_req)                                 { f3_mmio_use_seq_pc := false.B }

  f3_ready := Mux(f3_req_is_mmio, io.toIbuffer.ready && f3_mmio_req_commit || !f3_valid , io.toIbuffer.ready || !f3_valid)

401
  // when(fromUncache.fire())    {f3_mmio_data   :=  fromUncache.bits.data}
402 403 404


  switch(mmio_state){
405
    is(m_idle){
406
      when(f3_req_is_mmio){
407
        mmio_state :=  m_sendReq
408 409
      }
    }
410

411 412
    is(m_sendReq){
      mmio_state :=  Mux(toUncache.fire(), m_waitResp, m_sendReq )
413 414
    }

415
    is(m_waitResp){
416 417
      when(fromUncache.fire()){
          val isRVC =  fromUncache.bits.data(1,0) =/= 3.U
418 419 420 421 422 423
          val needResend = !isRVC && f3_pAddrs(0)(2,1) === 3.U
          mmio_state :=  Mux(needResend, m_sendTLB , m_waitCommit)

          mmio_is_RVC := isRVC
          f3_mmio_data(0)   :=  fromUncache.bits.data(15,0)
          f3_mmio_data(1)   :=  fromUncache.bits.data(31,16)
424
      }
425
    }
426

427
    is(m_sendTLB){
428 429 430
      when( io.iTLBInter.req.valid && !io.iTLBInter.resp.bits.miss ){
        mmio_state :=  m_tlbResp
      }
431 432 433
    }

    is(m_tlbResp){
434 435 436 437
      val tlbExept = io.iTLBInter.resp.bits.excp.pf.instr ||
                     io.iTLBInter.resp.bits.excp.af.instr
      mmio_state :=  Mux(tlbExept,m_waitCommit,m_sendPMP)
      mmio_resend_addr := io.iTLBInter.resp.bits.paddr
438 439
      mmio_resend_af := io.iTLBInter.resp.bits.excp.af.instr
      mmio_resend_pf := io.iTLBInter.resp.bits.excp.pf.instr
440 441 442
    }

    is(m_sendPMP){
443
          val pmpExcpAF = io.pmp.resp.instr || !io.pmp.resp.mmio
444 445 446 447 448 449
          mmio_state :=  Mux(pmpExcpAF, m_waitCommit , m_resendReq)
          mmio_resend_af := pmpExcpAF
    }

    is(m_resendReq){
      mmio_state :=  Mux(toUncache.fire(), m_waitResendResp, m_resendReq )
450
    }
451

452
    is(m_waitResendResp){
453
      when(fromUncache.fire()){
454 455
          mmio_state :=  m_waitCommit
          f3_mmio_data(1)   :=  fromUncache.bits.data(15,0)
456
      }
457
    }
458

459
    is(m_waitCommit){
J
Jay 已提交
460
      when(mmio_commit){
461
          mmio_state  :=  m_commited
462
      }
463
    }
J
Jay 已提交
464

465
    //normal mmio instruction
466 467
    is(m_commited){
        mmio_state := m_idle
468 469
        mmio_is_RVC := false.B
        mmio_resend_addr := 0.U
J
Jay 已提交
470
    }
471 472
  }

473
  //exception or flush by older branch prediction
J
Jay 已提交
474
  when(f3_ftq_flush_self || f3_ftq_flush_by_older)  {
475 476 477
    mmio_state := m_idle
    mmio_is_RVC := false.B
    mmio_resend_addr := 0.U
478
    mmio_resend_af := false.B
479
    f3_mmio_data.map(_ := 0.U)
480 481
  }

482 483
  toUncache.valid     :=  ((mmio_state === m_sendReq) || (mmio_state === m_resendReq)) && f3_req_is_mmio
  toUncache.bits.addr := Mux((mmio_state === m_resendReq), mmio_resend_addr, f3_pAddrs(0))
484 485
  fromUncache.ready   := true.B

486
  io.iTLBInter.req.valid         := (mmio_state === m_sendTLB) && f3_req_is_mmio
487
  io.iTLBInter.req.bits.size     := 3.U
488 489 490 491 492 493 494 495 496 497 498
  io.iTLBInter.req.bits.vaddr    := f3_resend_vaddr
  io.iTLBInter.req.bits.debug.pc := f3_resend_vaddr

  io.iTLBInter.req.bits.cmd                 := TlbCmd.exec
  io.iTLBInter.req.bits.robIdx              := DontCare
  io.iTLBInter.req.bits.debug.isFirstIssue  := DontCare

  io.pmp.req.valid := (mmio_state === m_sendPMP) && f3_req_is_mmio
  io.pmp.req.bits.addr  := mmio_resend_addr
  io.pmp.req.bits.size  := 3.U
  io.pmp.req.bits.cmd   := TlbCmd.exec
J
JinYue 已提交
499

J
Jay 已提交
500 501 502 503 504 505 506 507 508
  val f3_lastHalf       = RegInit(0.U.asTypeOf(new LastHalfInfo))

  val f3_predecode_range = VecInit(preDecoderOut.pd.map(inst => inst.valid)).asUInt
  val f3_mmio_range      = VecInit((0 until PredictWidth).map(i => if(i ==0) true.B else false.B))
  val f3_instr_valid     = Wire(Vec(PredictWidth, Bool()))

  /*** prediction result check   ***/
  checkerIn.ftqOffset   := f3_ftq_req.ftqOffset
  checkerIn.jumpOffset  := f3_jump_offset
J
JinYue 已提交
509
  checkerIn.target      := f3_ftq_req.nextStartAddr
J
Jay 已提交
510 511 512 513 514
  checkerIn.instrRange  := f3_instr_range.asTypeOf(Vec(PredictWidth, Bool()))
  checkerIn.instrValid  := f3_instr_valid.asTypeOf(Vec(PredictWidth, Bool()))
  checkerIn.pds         := f3_pd
  checkerIn.pc          := f3_pc

515
  /*** handle half RVI in the last 2 Bytes  ***/
J
Jay 已提交
516 517

  def hasLastHalf(idx: UInt) = {
J
JinYue 已提交
518
    !f3_pd(idx).isRVC && checkerOut.fixedRange(idx) && f3_instr_valid(idx) && !checkerOut.fixedTaken(idx) && !checkerOut.fixedMissPred(idx) && ! f3_req_is_mmio
J
Jay 已提交
519 520 521 522 523 524 525 526 527
  }

  val f3_last_validIdx             = ~ParallelPriorityEncoder(checkerOut.fixedRange.reverse)

  val f3_hasLastHalf         = hasLastHalf((PredictWidth - 1).U)
  val f3_false_lastHalf      = hasLastHalf(f3_last_validIdx)
  val f3_false_snpc          = f3_half_snpc(f3_last_validIdx)

  val f3_lastHalf_mask    = VecInit((0 until PredictWidth).map( i => if(i ==0) false.B else true.B )).asUInt()
J
JinYue 已提交
528 529 530

  when (f3_flush) {
    f3_lastHalf.valid := false.B
J
Jay 已提交
531 532
  }.elsewhen (f3_fire) {
    f3_lastHalf.valid := f3_hasLastHalf
J
JinYue 已提交
533
    f3_lastHalf.middlePC := f3_ftq_req.nextStartAddr
534 535
  }

J
Jay 已提交
536 537 538 539 540 541 542 543 544 545 546 547 548 549 550 551 552 553 554 555 556 557 558
  f3_instr_valid := Mux(f3_lastHalf.valid,f3_hasHalfValid ,VecInit(f3_pd.map(inst => inst.valid)))

  /*** frontend Trigger  ***/
  frontendTrigger.io.pds  := f3_pd
  frontendTrigger.io.pc   := f3_pc
  frontendTrigger.io.data   := f3_cut_data

  frontendTrigger.io.frontendTrigger  := io.frontendTrigger
  frontendTrigger.io.csrTriggerEnable := io.csrTriggerEnable

  val f3_triggered = frontendTrigger.io.triggered

  /*** send to Ibuffer  ***/

  io.toIbuffer.valid            := f3_valid && (!f3_req_is_mmio || f3_mmio_can_go) && !f3_flush
  io.toIbuffer.bits.instrs      := f3_expd_instr
  io.toIbuffer.bits.valid       := f3_instr_valid.asUInt
  io.toIbuffer.bits.enqEnable   := checkerOut.fixedRange.asUInt & f3_instr_valid.asUInt
  io.toIbuffer.bits.pd          := f3_pd
  io.toIbuffer.bits.ftqPtr      := f3_ftq_req.ftqIdx
  io.toIbuffer.bits.pc          := f3_pc
  io.toIbuffer.bits.ftqOffset.zipWithIndex.map{case(a, i) => a.bits := i.U; a.valid := checkerOut.fixedTaken(i) && !f3_req_is_mmio}
  io.toIbuffer.bits.foldpc      := f3_foldpc
559
  io.toIbuffer.bits.ipf         := VecInit(f3_pf_vec.zip(f3_crossPageFault).map{case (pf, crossPF) => pf || crossPF})
J
Jay 已提交
560 561 562 563 564 565 566 567 568 569 570 571
  io.toIbuffer.bits.acf         := f3_af_vec
  io.toIbuffer.bits.crossPageIPFFix := f3_crossPageFault
  io.toIbuffer.bits.triggered   := f3_triggered

  val lastHalfMask = VecInit((0 until PredictWidth).map(i => if(i ==0) false.B else true.B))
  when(f3_lastHalf.valid){
    io.toIbuffer.bits.enqEnable := checkerOut.fixedRange.asUInt & f3_instr_valid.asUInt & lastHalfMask.asUInt
    io.toIbuffer.bits.valid     := f3_lastHalf_mask & f3_instr_valid.asUInt
  }

  /** external predecode for MMIO instruction */
  when(f3_req_is_mmio){
572
    val inst  = Cat(f3_mmio_data(1), f3_mmio_data(0))
J
Jay 已提交
573 574 575 576 577 578 579 580 581 582 583 584 585 586
    val currentIsRVC   = isRVC(inst)

    val brType::isCall::isRet::Nil = brInfo(inst)
    val jalOffset = jal_offset(inst, currentIsRVC)
    val brOffset  = br_offset(inst, currentIsRVC)

    io.toIbuffer.bits.instrs (0) := new RVCDecoder(inst, XLEN).decode.bits

    io.toIbuffer.bits.pd(0).valid   := true.B
    io.toIbuffer.bits.pd(0).isRVC   := currentIsRVC
    io.toIbuffer.bits.pd(0).brType  := brType
    io.toIbuffer.bits.pd(0).isCall  := isCall
    io.toIbuffer.bits.pd(0).isRet   := isRet

587
    io.toIbuffer.bits.acf(0) := mmio_resend_af
588 589
    io.toIbuffer.bits.ipf(0) := mmio_resend_pf
    io.toIbuffer.bits.crossPageIPFFix(0) := mmio_resend_pf
590

J
Jay 已提交
591 592
    io.toIbuffer.bits.enqEnable   := f3_mmio_range.asUInt
  }
593

J
JinYue 已提交
594

J
JinYue 已提交
595
  //Write back to Ftq
596 597 598
  val f3_cache_fetch = f3_valid && !(f2_fire && !f2_flush)
  val finishFetchMaskReg = RegNext(f3_cache_fetch)

J
Jay 已提交
599
  val mmioFlushWb = Wire(Valid(new PredecodeWritebackBundle))
600
  val f3_mmio_missOffset = Wire(ValidUndirectioned(UInt(log2Ceil(PredictWidth).W)))
601
  f3_mmio_missOffset.valid := f3_req_is_mmio
602 603
  f3_mmio_missOffset.bits  := 0.U

604
  mmioFlushWb.valid           := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire())  && f3_mmio_use_seq_pc)
J
Jay 已提交
605 606 607 608 609 610 611
  mmioFlushWb.bits.pc         := f3_pc
  mmioFlushWb.bits.pd         := f3_pd
  mmioFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid :=  f3_mmio_range(i)}
  mmioFlushWb.bits.ftqIdx     := f3_ftq_req.ftqIdx
  mmioFlushWb.bits.ftqOffset  := f3_ftq_req.ftqOffset.bits
  mmioFlushWb.bits.misOffset  := f3_mmio_missOffset
  mmioFlushWb.bits.cfiOffset  := DontCare
612
  mmioFlushWb.bits.target     := Mux(mmio_is_RVC, f3_ftq_req.startAddr + 2.U , f3_ftq_req.startAddr + 4.U)
J
Jay 已提交
613 614 615
  mmioFlushWb.bits.jalTarget  := DontCare
  mmioFlushWb.bits.instrRange := f3_mmio_range

616
  mmio_redirect := (f3_req_is_mmio && mmio_state === m_waitCommit && RegNext(fromUncache.fire())  && f3_mmio_use_seq_pc)
J
Jay 已提交
617

618 619 620
  XSPerfAccumulate("fetch_bubble_ibuffer_not_ready",   io.toIbuffer.valid && !io.toIbuffer.ready )


621 622 623 624
  /**
    ******************************************************************************
    * IFU Write Back Stage
    * - write back predecode information to Ftq to update
625
    * - redirect if found fault prediction
626 627 628 629
    * - redirect if has false hit last half (last PC is not start + 32 Bytes, but in the midle of an notCFI RVI instruction)
    ******************************************************************************
    */

J
Jay 已提交
630 631 632 633 634 635 636 637 638 639 640
  val wb_valid          = RegNext(RegNext(f2_fire && !f2_flush) && !f3_req_is_mmio && !f3_flush)
  val wb_ftq_req        = RegNext(f3_ftq_req)

  val wb_check_result   = RegNext(checkerOut)
  val wb_instr_range    = RegNext(io.toIbuffer.bits.enqEnable)
  val wb_pc             = RegNext(f3_pc)
  val wb_pd             = RegNext(f3_pd)
  val wb_instr_valid    = RegNext(f3_instr_valid)

  /* false hit lastHalf */
  val wb_lastIdx        = RegNext(f3_last_validIdx)
641
  val wb_false_lastHalf = RegNext(f3_false_lastHalf) && wb_lastIdx =/= (PredictWidth - 1).U
J
Jay 已提交
642
  val wb_false_target   = RegNext(f3_false_snpc)
643

J
Jay 已提交
644 645 646
  val wb_half_flush = wb_false_lastHalf
  val wb_half_target = wb_false_target

647 648
  /* false oversize */
  val lastIsRVC = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool())).last  && wb_pd.last.isRVC
649
  val lastIsRVI = wb_instr_range.asTypeOf(Vec(PredictWidth,Bool()))(PredictWidth - 2) && !wb_pd(PredictWidth - 2).isRVC
650 651
  val lastTaken = wb_check_result.fixedTaken.last

J
Jay 已提交
652 653 654 655 656 657 658 659 660
  f3_wb_not_flush := wb_ftq_req.ftqIdx === f3_ftq_req.ftqIdx && f3_valid && wb_valid

  val checkFlushWb = Wire(Valid(new PredecodeWritebackBundle))
  checkFlushWb.valid                  := wb_valid
  checkFlushWb.bits.pc                := wb_pc
  checkFlushWb.bits.pd                := wb_pd
  checkFlushWb.bits.pd.zipWithIndex.map{case(instr,i) => instr.valid := wb_instr_valid(i)}
  checkFlushWb.bits.ftqIdx            := wb_ftq_req.ftqIdx
  checkFlushWb.bits.ftqOffset         := wb_ftq_req.ftqOffset.bits
J
JinYue 已提交
661
  checkFlushWb.bits.misOffset.valid   := ParallelOR(wb_check_result.fixedMissPred) || wb_half_flush
662
  checkFlushWb.bits.misOffset.bits    := Mux(wb_half_flush, wb_lastIdx, ParallelPriorityEncoder(wb_check_result.fixedMissPred))
J
Jay 已提交
663 664
  checkFlushWb.bits.cfiOffset.valid   := ParallelOR(wb_check_result.fixedTaken)
  checkFlushWb.bits.cfiOffset.bits    := ParallelPriorityEncoder(wb_check_result.fixedTaken)
J
JinYue 已提交
665
  checkFlushWb.bits.target            := Mux(wb_half_flush, wb_half_target, wb_check_result.fixedTarget(ParallelPriorityEncoder(wb_check_result.fixedMissPred)))
666
  checkFlushWb.bits.jalTarget         := wb_check_result.fixedTarget(ParallelPriorityEncoder(VecInit(wb_pd.zip(wb_instr_valid).map{case (pd, v) => v && pd.isJal })))
J
Jay 已提交
667 668
  checkFlushWb.bits.instrRange        := wb_instr_range.asTypeOf(Vec(PredictWidth, Bool()))

669
  toFtq.pdWb := Mux(wb_valid, checkFlushWb,  mmioFlushWb)
670

J
Jay 已提交
671
  wb_redirect := checkFlushWb.bits.misOffset.valid && wb_valid
672

673 674 675 676 677 678 679 680 681 682 683 684 685 686 687 688
  /*write back flush type*/
  val checkFaultType = wb_check_result.faultType
  val checkJalFault =  wb_valid && checkFaultType.map(_.isjalFault).reduce(_||_)
  val checkRetFault =  wb_valid && checkFaultType.map(_.isRetFault).reduce(_||_)
  val checkTargetFault =  wb_valid && checkFaultType.map(_.istargetFault).reduce(_||_)
  val checkNotCFIFault =  wb_valid && checkFaultType.map(_.notCFIFault).reduce(_||_)
  val checkInvalidTaken =  wb_valid && checkFaultType.map(_.invalidTakenFault).reduce(_||_)


  XSPerfAccumulate("predecode_flush_jalFault",   checkJalFault )
  XSPerfAccumulate("predecode_flush_retFault",   checkRetFault )
  XSPerfAccumulate("predecode_flush_targetFault",   checkTargetFault )
  XSPerfAccumulate("predecode_flush_notCFIFault",   checkNotCFIFault )
  XSPerfAccumulate("predecode_flush_incalidTakenFault",   checkInvalidTaken )

  when(checkRetFault){
689
    XSDebug("startAddr:%x  nextstartAddr:%x  taken:%d    takenIdx:%d\n",
690 691 692
        wb_ftq_req.startAddr, wb_ftq_req.nextStartAddr, wb_ftq_req.ftqOffset.valid, wb_ftq_req.ftqOffset.bits)
  }

693
  /** performance counter */
694
  val f3_perf_info     = RegEnable(f2_perf_info,  f2_fire)
695 696 697 698 699
  val f3_req_0    = io.toIbuffer.fire()
  val f3_req_1    = io.toIbuffer.fire() && f3_doubleLine
  val f3_hit_0    = io.toIbuffer.fire() && f3_perf_info.bank_hit(0)
  val f3_hit_1    = io.toIbuffer.fire() && f3_doubleLine & f3_perf_info.bank_hit(1)
  val f3_hit      = f3_perf_info.hit
700
  val perfEvents = Seq(
J
Jay 已提交
701
    ("frontendFlush                ", wb_redirect                                ),
702
    ("ifu_req                      ", io.toIbuffer.fire()                        ),
703
    ("ifu_miss                     ", io.toIbuffer.fire() && !f3_perf_info.hit   ),
704 705 706 707
    ("ifu_req_cacheline_0          ", f3_req_0                                   ),
    ("ifu_req_cacheline_1          ", f3_req_1                                   ),
    ("ifu_req_cacheline_0_hit      ", f3_hit_1                                   ),
    ("ifu_req_cacheline_1_hit      ", f3_hit_1                                   ),
708 709 710 711 712 713
    ("only_0_hit                   ", f3_perf_info.only_0_hit       && io.toIbuffer.fire() ),
    ("only_0_miss                  ", f3_perf_info.only_0_miss      && io.toIbuffer.fire() ),
    ("hit_0_hit_1                  ", f3_perf_info.hit_0_hit_1      && io.toIbuffer.fire() ),
    ("hit_0_miss_1                 ", f3_perf_info.hit_0_miss_1     && io.toIbuffer.fire() ),
    ("miss_0_hit_1                 ", f3_perf_info.miss_0_hit_1     && io.toIbuffer.fire() ),
    ("miss_0_miss_1                ", f3_perf_info.miss_0_miss_1    && io.toIbuffer.fire() ),
714
  )
715
  generatePerfEvent()
J
JinYue 已提交
716

J
JinYue 已提交
717 718 719 720 721 722
  XSPerfAccumulate("ifu_req",   io.toIbuffer.fire() )
  XSPerfAccumulate("ifu_miss",  io.toIbuffer.fire() && !f3_hit )
  XSPerfAccumulate("ifu_req_cacheline_0", f3_req_0  )
  XSPerfAccumulate("ifu_req_cacheline_1", f3_req_1  )
  XSPerfAccumulate("ifu_req_cacheline_0_hit",   f3_hit_0 )
  XSPerfAccumulate("ifu_req_cacheline_1_hit",   f3_hit_1 )
J
Jay 已提交
723
  XSPerfAccumulate("frontendFlush",  wb_redirect )
724 725 726 727 728 729
  XSPerfAccumulate("only_0_hit",      f3_perf_info.only_0_hit   && io.toIbuffer.fire()  )
  XSPerfAccumulate("only_0_miss",     f3_perf_info.only_0_miss  && io.toIbuffer.fire()  )
  XSPerfAccumulate("hit_0_hit_1",     f3_perf_info.hit_0_hit_1  && io.toIbuffer.fire()  )
  XSPerfAccumulate("hit_0_miss_1",    f3_perf_info.hit_0_miss_1  && io.toIbuffer.fire()  )
  XSPerfAccumulate("miss_0_hit_1",    f3_perf_info.miss_0_hit_1   && io.toIbuffer.fire() )
  XSPerfAccumulate("miss_0_miss_1",   f3_perf_info.miss_0_miss_1 && io.toIbuffer.fire() )
730 731 732
  XSPerfAccumulate("hit_0_except_1",   f3_perf_info.hit_0_except_1 && io.toIbuffer.fire() )
  XSPerfAccumulate("miss_0_except_1",   f3_perf_info.miss_0_except_1 && io.toIbuffer.fire() )
  XSPerfAccumulate("except_0",   f3_perf_info.except_0 && io.toIbuffer.fire() )
733
}