1. 12 7月, 2022 1 次提交
    • W
      ldu: set load to use latency to 4 (#1623) · c837faaa
      William Wang 提交于
      This commit adds an extra cycle for load pipeline. It should fix timing problem caused by load pipeline.
      Huge perf loss is expected. Now load data result is sent to rs in load_s3, load may hit hint
      (fastUop.valid) is sent to rs in load_s2.
      
      We add a 3 cycle load to load fast forward data path. There should be enough time to forward
      data inside memory block.
      
      We will refactor code and add a load_s3 module in the future.
      
      BREAKING CHANGE: load pipeline reorginized
      c837faaa
  2. 28 6月, 2022 1 次提交
  3. 06 5月, 2022 1 次提交
    • H
      feat: parameterize load store (#1527) · 46f74b57
      Haojin Tang 提交于
      * feat: parameterize load/store pipeline, etc.
      
      * fix: use LoadPipelineWidth rather than LoadQueueSize
      
      * fix: parameterize `rdataPtrExtNext`
      
      * SBuffer: fix idx update logic
      
      * atomic: parameterize atomic logic in `MemBlock`
      
      * StoreQueue: update allow enque requirement
      
      * feat: support one load/store pipeline
      
      * feat: parameterize `EnsbufferWidth`
      
      * chore: resharp codes for better generated name
      46f74b57
  4. 28 1月, 2022 1 次提交
  5. 26 1月, 2022 1 次提交
  6. 20 1月, 2022 1 次提交
  7. 18 1月, 2022 1 次提交
  8. 13 1月, 2022 1 次提交
  9. 07 1月, 2022 2 次提交
    • L
      ittage: use result in stage3 · 03c81005
      Lingrui98 提交于
      * remove base table and use ftb results as base pred
      * add corrsponding redirect logic in bpu
      03c81005
    • L
      tage: improve performance and reduce area · 4813e060
      Lingrui98 提交于
      * split entries into by numBr and use bits in pc to hash between them
      * use shorter tags for each table
      * make perfEvents a general interface for branch predictor components
        in order to remove casting operation in composer
      4813e060
  10. 01 1月, 2022 1 次提交
    • L
      bpu: timing optimizations · cb4f77ce
      Lingrui98 提交于
      * move statisical corrector to stage 3
      * add recover path in stage 3 for ras in case stage 2 falsely push or pop
      * let stage 2 has the highest physical priority in bpu
      * left ras broken for the next commit to fix
      cb4f77ce
  11. 30 12月, 2021 3 次提交
    • L
      tage: timing optimizations · 3581d7d3
      Lingrui98 提交于
      * reduce number of tables to 4, meanwhile quadrupling number of entries per table, improving area efficiency
      * use per bank wrbypass
      * invalidate read response when writing to SRAM
      * move validArray and useful bit into SRAMs, thus reducing area
      * use an optimized history config for such table sizes
      3581d7d3
    • L
      ubtb: timing and performance optimizations · edc18578
      Lingrui98 提交于
      * timing: use single ported SRAMs, invalidating read responses on write
      * performance:
      -- shortening history length to accelerate training
      -- use a predictor to reduce s2_redirects on FTB not hit
      edc18578
    • J
      IPrefetch: add prefetch address merge and counter (#1404) · a108d429
      Jay 提交于
      * fix performance counter in ICacheMainpipe
      
      * IPrefetch: add prefetch address merge and counter
      a108d429
  12. 24 12月, 2021 3 次提交
  13. 23 12月, 2021 3 次提交
  14. 21 12月, 2021 1 次提交
  15. 20 12月, 2021 2 次提交
    • L
      Merge branch 'master' into trigger · a4e57ea3
      Li Qianruo 提交于
      a4e57ea3
    • W
      dcache: let ecc error and l2 corrupt raise load af (#1369) · 026615fc
      William Wang 提交于
      * dcache: let ecc error and l2 corrupt raise load af
      
      If CSR.smblockctl.cache_error_enable is disabled, ecc error and l2 corrupt
      will not raise any exception.
      
      * mem: enable cache error by default
      
      * mem: support store ecc check, add ecc error csr
      
      Support store / atom ecc check (early version)
      Add ecc error csr to distingush ecc error and other access fault
      
      Timing opt and unit tests to be added.
      026615fc
  16. 18 12月, 2021 1 次提交
  17. 17 12月, 2021 2 次提交
    • L
      ubtb: refactor prediction mechanism(temp commit) · b37e4b45
      Lingrui98 提交于
      b37e4b45
    • L
      pmp: add static pmp check that stored in tlb entries (#1366) · 5b7ef044
      Lemover 提交于
      * memblock: regnext ptw's resp
      
      * pmp: timing optimization from tlb.sram.ppn to pmp, add static pmp check
      
      long latency: tlb's sram may be slow to gen ppn, ppn to pmp may be
      long latency.
      Solution: add static pmp check.
      
      Fatal problem: pmp grain is smalled than TLB pages(4KB, 2MB, 1GB)
      Solution: increase pmp'grain to 4K, for 4K entries, pre-check pmp and
      store the result into tlb storage. For super pages, still dynamic check
      that translation and check.
      
      * pmp: change pmp grain to 4KB, change pma relative init config
      
      * bump ready-to-run, update nemu so for pmp grain
      
      * bump ready-to-run, update nemu so for pmp grain again
      
        update pmp unit test. The old test assumes that pmp grain is less than 512bit.
      5b7ef044
  18. 14 12月, 2021 1 次提交
    • J
      Optimize IFU and PreDecode timing (#1347) · 2a3050c2
      Jay 提交于
      * ICache: add ReplacePipe for Probe & Release
      
      * remove ProbeUnit
      
      * Probe & Release enter ReplacePipe
      
      * fix bugs when running Linux on MinimalConfig
      
      * TODO: set conflict for ReplacePipe
      
      * ICache: fix ReplacePipe invalid write bug
      
      * chores: code clean up
      
      * IFU: optimize timing
      
      * PreDecode: separate into 2 module for timing optimization
      
      * IBuffer: add enqEnable to replace valid for timing
      
      * IFU/ITLB: optimize timing
      
      * IFU: calculate cut_ptr in f1
      
      * TLB: send req in f1 and wait resp in f2
      
      * ICacheMainPipe: add tlb miss logic in s0
      
      * Optimize IFU timing
      
      * IFU: fix lastHalfRVI bug
      
      * IFU: fix performance bug
      
      * IFU: optimize MMIO commit timing
      
      * IFU: optmize trigger timing and add frontendTrigger
      
      * fix compile error
      
      * IFU: fix mmio stuck bug
      2a3050c2
  19. 10 12月, 2021 1 次提交
  20. 08 12月, 2021 1 次提交
  21. 07 12月, 2021 1 次提交
  22. 06 12月, 2021 1 次提交
  23. 05 12月, 2021 1 次提交
  24. 04 12月, 2021 1 次提交
    • J
      ICache: add ReplacePipe for Probe and Release (#1303) · 2a25dbb4
      Jay 提交于
      * ICache: add ReplacePipe for Probe & Release
      
      * remove ProbeUnit
      
      * Probe & Release enter ReplacePipe
      
      * fix bugs when running Linux on MinimalConfig
      
      * TODO: set conflict for ReplacePipe
      
      * ICache: add Block logic for ReplacePipe
      
      * ReplacePipe: change probe assert condition
      
      * support Probe NToN (Probe not hit in ICache)
      
      * ICache: fix a bug in meta_write_arb
      2a25dbb4
  25. 30 11月, 2021 1 次提交
  26. 29 11月, 2021 2 次提交
    • W
      Optimize memblock timing (#1268) · a98b054b
      William Wang 提交于
      * sbuffer: do flush correctly while draining sbuffer
      
      * mem: disable EnableFastForward for timing reasons
      
      * sbuffer: optimize forward mask gen timing
      
      * dcache: block main pipe req if refill req is valid
      
      Refill req comes from refill arbiter. There is not time left for index
      conflict check. Now we block all main pipe req when refill
      req comes from miss queue.
      
      * dcache: delay some resp signals for better timing
      
      * dcache: optimize wbq enq entry select timing
      
      * dcache: decouple missq req.valid to valid & cancel
      
      * valid is fast, it is used to select which miss req will be sent to
      miss queue
      * cancel can be slow to generate, it will cancel miss queue req in the
      last moment
      
      * sbuffer: optimize noSameBlockInflight check timing
      a98b054b
    • L
      Temporary commit · 1097f021
      Li Qianruo 提交于
      1097f021
  27. 28 11月, 2021 1 次提交
    • J
      ICache: Add tilelink consistency modification (#1228) · 1d8f4dcb
      Jay 提交于
      * ICache: metaArray & dataArray use bank interleave
      
      * ICache: add bank interleave
      
      * ICache: add parity check for meta and data arrays
      
      * IFU: fix bug in secondary miss
      
      * secondary miss doesn't send miss request to miss queue
      
      * ICache: write back cancled miss request
      
      * ICacheMissEntry: add second miss merge
      
      * deal with situations that this entry has been flushed, and the next miss req just
      requests the same cachline.
      
      * ICache: add acquireBlock and GrantAck support
      
      * refact: move icache modules to frontend modules
      
      * ICache: add release surport and meta coh
      
      * ICache: change Get to AcquireBlock for A channel
      
      * rebuild: change ICachePara package for other file
      
      * ICache: add tilelogger for L1I
      
      * ICahce: add ProbeQueue and Probe Process Unit
      
      * ICache: add support for ProbeData
      
      * ICahceParameter: change tag code to ECC
      
      * ICahce: fix bugs in connect and ProbeUnit
      
      * metaArray/dataArray responses are not connected
      
      * ProbeUnit use reg so data and req are not synchronized
      
      * RealeaseUnit: write back mata when voluntary
      
      * Add ICache CacheInstruction
      
      * move ICache to xiangshan.frontend.icache._
      
      * ICache: add CacheOpDecoder
      
      * change ICacheMissQueue to ICacheMissUnit
      
      * ProbeUnit: fix meta data not latch bug
      
      * IFU: delete releaseSlot and add missSlot
      
      * IFU: fix bugs in missSlot state machine
      
      * IFU: fix some bugs in miss Slot
      
      * IFU: move out fetch to ICache Array logic
      
      * ReleaseUnit: delete release write logic
      
      * MissUnit: send Release to ReleaseUnit after GAck
      
      * ICacheMainPipe: add mainpipe and stop logic
      
      * when f3_ready is low, stop the pipeline
      
      * IFU: move tlb and array access to mainpipe
      
      * Modify Frontend and ICache top for mainpipe
      
      * ReleaseUnit: add probe merge status register
      
      * ICache: add victim info and release in mainpipe
      
      * ICahche: add set-conflict logic
      
      * Release: do not invalid meta after sending release
      
      * bump Huancun: fix probe problem
      
      * bump huancun for MinimalConfig combinational loop
      
      * ICache: add LICENSE for new files
      
      * Chore: remove debug code and add perf counter
      
      * Bump huancun for bug fix
      
      * Bump HuanCun for alias bug
      
      * ICache: add dirty state for CliendMeta
      1d8f4dcb
  28. 26 11月, 2021 1 次提交
  29. 16 11月, 2021 1 次提交
    • J
      Fix multi-core dedup bug (#1235) · 5668a921
      Jiawei Lin 提交于
      * FDivSqrt: use hierarchy API to avoid dedup bug
      
      * Dedup: use hartId from io port instead of core parameters
      
      * Bump fudian
      5668a921
  30. 13 11月, 2021 1 次提交
    • L
      bpu: fix folded history bugs · b9e1a5f8
      Lingrui98 提交于
      * fix a bug of wrongly discarding some new bits to be xored
      * ghr should be longer in default config to avoid falsely overriding
      * move TageBanks to top, and fix SC folded history config
      b9e1a5f8