IntegerBlock.scala 9.4 KB
Newer Older
1 2 3 4 5
package xiangshan.backend

import chisel3._
import chisel3.util._
import xiangshan._
6
import xiangshan.backend.exu.Exu.{jumpExeUnitCfg, ldExeUnitCfg, stExeUnitCfg}
7
import xiangshan.backend.exu._
8
import xiangshan.backend.issue.ReservationStation
9
import xiangshan.backend.fu.{FenceToSbuffer, CSRFileIO}
L
LinJiawei 已提交
10
import xiangshan.backend.regfile.Regfile
11

L
LinJiawei 已提交
12 13
class WakeUpBundle(numFast: Int, numSlow: Int) extends XSBundle {
  val fastUops = Vec(numFast, Flipped(ValidIO(new MicroOp)))
14
  val fast = Vec(numFast, Flipped(ValidIO(new ExuOutput))) //one cycle later than fastUops
L
LinJiawei 已提交
15 16 17 18 19 20
  val slow = Vec(numSlow, Flipped(DecoupledIO(new ExuOutput)))

  override def cloneType = (new WakeUpBundle(numFast, numSlow)).asInstanceOf[this.type]

}

21
class IntBlockToCtrlIO extends XSBundle {
L
LinJiawei 已提交
22 23 24 25
  // write back regfile signals after arbiter
  // used to update busytable and roq state
  val wbRegs = Vec(NRIntWritePorts, ValidIO(new ExuOutput))
  // write back to brq
26
  val exuRedirect = Vec(exuParameters.AluCnt + exuParameters.JmpCnt, ValidIO(new ExuOutput))
27 28 29
  val numExist = Vec(exuParameters.IntExuCnt, Output(UInt(log2Ceil(IssQueSize).W)))
}

L
LinJiawei 已提交
30
trait HasExeBlockHelper {
31 32 33 34
  def fpUopValid(x: ValidIO[MicroOp]): ValidIO[MicroOp] = {
    val uop = WireInit(x)
    uop.valid := x.valid && x.bits.ctrl.fpWen
    uop
L
LinJiawei 已提交
35
  }
36 37 38 39
  def fpOutValid(x: ValidIO[ExuOutput]): ValidIO[ExuOutput] = {
    val out = WireInit(x)
    out.valid := x.valid && x.bits.uop.ctrl.fpWen
    out
L
LinJiawei 已提交
40
  }
41
  def fpOutValid(x: DecoupledIO[ExuOutput], connectReady: Boolean = false): DecoupledIO[ExuOutput] = {
42
    val out = WireInit(x)
43
    if(connectReady) x.ready := out.ready
44 45
    out.valid := x.valid && x.bits.uop.ctrl.fpWen
    out
L
LinJiawei 已提交
46
  }
47 48 49 50
  def intUopValid(x: ValidIO[MicroOp]): ValidIO[MicroOp] = {
    val uop = WireInit(x)
    uop.valid := x.valid && x.bits.ctrl.rfWen
    uop
L
LinJiawei 已提交
51
  }
52 53
  def intOutValid(x: ValidIO[ExuOutput]): ValidIO[ExuOutput] = {
    val out = WireInit(x)
54
    out.valid := x.valid && !x.bits.uop.ctrl.fpWen
55
    out
56
  }
57
  def intOutValid(x: DecoupledIO[ExuOutput], connectReady: Boolean = false): DecoupledIO[ExuOutput] = {
58
    val out = WireInit(x)
59
    if(connectReady) x.ready := out.ready
60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75
    out.valid := x.valid && x.bits.uop.ctrl.rfWen
    out
  }
  def decoupledIOToValidIO[T <: Data](d: DecoupledIO[T]): Valid[T] = {
    val v = Wire(Valid(d.bits.cloneType))
    v.valid := d.valid
    v.bits := d.bits
    v
  }

  def validIOToDecoupledIO[T <: Data](v: Valid[T]): DecoupledIO[T] = {
    val d = Wire(DecoupledIO(v.bits.cloneType))
    d.valid := v.valid
    d.ready := true.B
    d.bits := v.bits
    d
76
  }
L
LinJiawei 已提交
77 78
}

L
LinJiawei 已提交
79 80
class IntegerBlock
(
L
LinJiawei 已提交
81 82
  fastWakeUpIn: Seq[ExuConfig],
  slowWakeUpIn: Seq[ExuConfig],
83 84 85
  fastWakeUpOut: Seq[ExuConfig],
  slowWakeUpOut: Seq[ExuConfig]
) extends XSModule with HasExeBlockHelper {
86 87 88
  val io = IO(new Bundle {
    val fromCtrlBlock = Flipped(new CtrlToIntBlockIO)
    val toCtrlBlock = new IntBlockToCtrlIO
89
    val toMemBlock = new IntBlockToMemBlockIO
L
LinJiawei 已提交
90

L
LinJiawei 已提交
91
    val wakeUpIn = new WakeUpBundle(fastWakeUpIn.size, slowWakeUpIn.size)
92
    val wakeUpOut = Flipped(new WakeUpBundle(fastWakeUpOut.size, slowWakeUpOut.size))
L
LinJiawei 已提交
93

94
    val csrio = new CSRFileIO
Y
Yinan Xu 已提交
95
    val fenceio = new Bundle {
Y
Yinan Xu 已提交
96
      val sfence = Output(new SfenceBundle) // to front,mem
97 98
      val fencei = Output(Bool()) // to icache
      val sbuffer = new FenceToSbuffer // to mem
Y
Yinan Xu 已提交
99
    }
100
  })
101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125
  val difftestIO = IO(new Bundle() {
    val fromCSR = new Bundle() {
      val intrNO = Output(UInt(64.W))
      val cause = Output(UInt(64.W))
      val priviledgeMode = Output(UInt(2.W))
      val mstatus = Output(UInt(64.W))
      val sstatus = Output(UInt(64.W))
      val mepc = Output(UInt(64.W))
      val sepc = Output(UInt(64.W))
      val mtval = Output(UInt(64.W))
      val stval = Output(UInt(64.W))
      val mtvec = Output(UInt(64.W))
      val stvec = Output(UInt(64.W))
      val mcause = Output(UInt(64.W))
      val scause = Output(UInt(64.W))
      val satp = Output(UInt(64.W))
      val mip = Output(UInt(64.W))
      val mie = Output(UInt(64.W))
      val mscratch = Output(UInt(64.W))
      val sscratch = Output(UInt(64.W))
      val mideleg = Output(UInt(64.W))
      val medeleg = Output(UInt(64.W))
    }
  })
  difftestIO <> DontCare
L
LinJiawei 已提交
126 127

  val redirect = io.fromCtrlBlock.redirect
128
  val flush = io.fromCtrlBlock.flush
L
LinJiawei 已提交
129 130 131 132 133 134 135 136 137 138

  val intRf = Module(new Regfile(
    numReadPorts = NRIntReadPorts,
    numWirtePorts = NRIntWritePorts,
    hasZero = true,
    len = XLEN
  ))

  val jmpExeUnit = Module(new JumpExeUnit)
  val mduExeUnits = Array.tabulate(exuParameters.MduCnt)(_ => Module(new MulDivExeUnit))
L
LinJiawei 已提交
139
  val aluExeUnits = Array.tabulate(exuParameters.AluCnt)(_ => Module(new AluExeUnit))
L
LinJiawei 已提交
140

L
LinJiawei 已提交
141
  val exeUnits = jmpExeUnit +: (mduExeUnits ++ aluExeUnits)
L
LinJiawei 已提交
142 143 144 145 146 147 148

  def needWakeup(cfg: ExuConfig): Boolean =
    (cfg.readIntRf && cfg.writeIntRf) || (cfg.readFpRf && cfg.writeFpRf)

  def needData(a: ExuConfig, b: ExuConfig): Boolean =
    (a.readIntRf && b.writeIntRf) || (a.readFpRf && b.writeFpRf)

149 150
  // val readPortIndex = RegNext(io.fromCtrlBlock.readPortIndex)
  val readPortIndex = Seq(1, 2, 3, 0, 1, 2, 3)
Y
Yinan Xu 已提交
151
  val reservationStations = exeUnits.map(_.config).zipWithIndex.map({ case (cfg, i) =>
L
LinJiawei 已提交
152 153 154 155 156 157 158
    var certainLatency = -1
    if (cfg.hasCertainLatency) {
      certainLatency = cfg.latency.latencyVal.get
    }

    val readIntRf = cfg.readIntRf

159 160 161
    val inBlockWbData = exeUnits.filter(e => e.config.hasCertainLatency).map(a => (a.config, a.io.out.bits.data))
    val fastDatas = inBlockWbData ++ fastWakeUpIn.zip(io.wakeUpIn.fast.map(_.bits.data))
    val fastPortsCnt = fastDatas.length
L
LinJiawei 已提交
162

163 164
    val inBlockListenPorts = exeUnits.filter(e => e.config.hasUncertainlatency).map(a => (a.config, a.io.out))
    val slowPorts = (inBlockListenPorts ++ slowWakeUpIn.zip(io.wakeUpIn.slow)).map(a => (a._1, decoupledIOToValidIO(a._2)))
165
    val extraListenPortsCnt = slowPorts.length
L
LinJiawei 已提交
166 167 168

    val feedback = (cfg == ldExeUnitCfg) || (cfg == stExeUnitCfg)

169
    println(s"${i}: exu:${cfg.name} fastPortsCnt: ${fastPortsCnt} slowPorts: ${extraListenPortsCnt} delay:${certainLatency} feedback:${feedback}")
L
LinJiawei 已提交
170

171 172 173 174 175 176 177
    val rs = Module(new ReservationStation(cfg, XLEN + 1,
      fastDatas.map(_._1),
      slowPorts.map(_._1),
      fixedDelay = certainLatency,
      fastWakeup = certainLatency >= 0,
      feedback = feedback
    ))
Z
ZhangZifei 已提交
178

179
    rs.io.redirect <> redirect
Z
ZhangZifei 已提交
180
    rs.io.flush <> flush // TODO: remove it
181 182
    rs.io.numExist <> io.toCtrlBlock.numExist(i)
    rs.io.fromDispatch <> io.fromCtrlBlock.enqIqCtrl(i)
183

184
    rs.io.srcRegValue := DontCare
185 186
    val src1Value = VecInit((0 until 4).map(i => intRf.io.readPorts(i * 2).data))
    val src2Value = VecInit((0 until 4).map(i => intRf.io.readPorts(i * 2 + 1).data))
187 188
    rs.io.srcRegValue(0) := src1Value(readPortIndex(i))
    if (cfg.intSrcCnt > 1) rs.io.srcRegValue(1) := src2Value(readPortIndex(i))
L
LinJiawei 已提交
189
    if (cfg == Exu.jumpExeUnitCfg) {
Z
ZhangZifei 已提交
190 191
      rs.io.jumpPc := io.fromCtrlBlock.jumpPc
      rs.io.jalr_target := io.fromCtrlBlock.jalr_target
L
LinJiawei 已提交
192
    }
Z
ZhangZifei 已提交
193

194 195
    rs.io.fastDatas <> fastDatas.map(_._2)
    rs.io.slowPorts <> slowPorts.map(_._2)
L
LinJiawei 已提交
196 197

    exeUnits(i).io.redirect <> redirect
198
    exeUnits(i).io.fromInt <> rs.io.deq
199
    exeUnits(i).io.flush <> flush
200
    // rs.io.memfeedback := DontCare
L
LinJiawei 已提交
201

202
    rs.suggestName(s"rs_${cfg.name}")
L
LinJiawei 已提交
203

204
    rs
L
LinJiawei 已提交
205 206
  })

207
  for (rs <- reservationStations) {
Y
Yinan Xu 已提交
208
    val inBlockUops = reservationStations.filter(x =>
L
LinJiawei 已提交
209 210
      x.exuCfg.hasCertainLatency && x.exuCfg.writeIntRf
    ).map(x => {
211 212
      val raw = WireInit(x.io.fastUopOut)
      raw.valid := x.io.fastUopOut.valid && raw.bits.ctrl.rfWen
L
LinJiawei 已提交
213 214
      raw
    })
215
    rs.io.fastUopsIn <> inBlockUops ++ io.wakeUpIn.fastUops
L
LinJiawei 已提交
216 217
  }

218 219 220
  io.wakeUpOut.fastUops <> reservationStations.filter(
    rs => rs.exuCfg.hasCertainLatency
  ).map(_.io.fastUopOut).map(intUopValid)
L
LinJiawei 已提交
221

222 223 224
  io.wakeUpOut.fast <> exeUnits.filter(
    x => x.config.hasCertainLatency
  ).map(_.io.out).map(decoupledIOToValidIO)
L
LinJiawei 已提交
225

226 227
  io.wakeUpOut.slow <> exeUnits.filter(
    x => x.config.hasUncertainlatency
228
  ).map(x => WireInit(x.io.out))
L
LinJiawei 已提交
229 230 231

  // send misprediction to brq
  io.toCtrlBlock.exuRedirect.zip(
232 233
    exeUnits.filter(_.config.hasRedirect).map(_.io.out)
  ).foreach {
L
LinJiawei 已提交
234 235 236 237 238
    case (x, y) =>
      x.valid := y.fire() && y.bits.redirectValid
      x.bits := y.bits
  }

Y
Yinan Xu 已提交
239 240
  jmpExeUnit.csrio <> io.csrio
  jmpExeUnit.fenceio <> io.fenceio
241
  if (!env.FPGAPlatform) {
242 243
    jmpExeUnit.difftestIO.fromCSR <> difftestIO.fromCSR
  }
L
LinJiawei 已提交
244 245

  // read int rf from ctrl block
246
  intRf.io.readPorts.zipWithIndex.map { case (r, i) => r.addr := io.fromCtrlBlock.readRf(i) }
247
  (0 until NRMemReadPorts).foreach(i => io.toMemBlock.readIntRf(i).data := intRf.io.readPorts(i + 8).data)
L
LinJiawei 已提交
248 249
  // write int rf arbiter
  val intWbArbiter = Module(new Wb(
L
LinJiawei 已提交
250 251 252
    (exeUnits.map(_.config) ++ fastWakeUpIn ++ slowWakeUpIn),
    NRIntWritePorts,
    isFp = false
L
LinJiawei 已提交
253
  ))
254
  intWbArbiter.io.in <> exeUnits.map(e => {
255 256 257 258
    val w = WireInit(e.io.out)
    val fpWen = if(e.config.writeFpRf) e.io.out.bits.uop.ctrl.fpWen else false.B
    w.valid := e.io.out.valid && !fpWen
    w
259
  }) ++ io.wakeUpIn.slow
260

261 262 263 264 265 266 267 268 269
  exeUnits.zip(intWbArbiter.io.in).foreach{
    case (exu, wInt) =>
      if(exu.config.writeFpRf){
        val wakeUpOut = io.wakeUpOut.slow(0) // jmpExeUnit
        val writeFpReady = wakeUpOut.fire() && wakeUpOut.bits.uop.ctrl.fpWen
        exu.io.out.ready := wInt.fire() || writeFpReady || !exu.io.out.valid
      } else {
        exu.io.out.ready := wInt.fire() || !exu.io.out.valid
      }
270
  }
L
LinJiawei 已提交
271 272 273 274

  // set busytable and update roq
  io.toCtrlBlock.wbRegs <> intWbArbiter.io.out

275
  intRf.io.writePorts.zip(intWbArbiter.io.out).foreach {
L
LinJiawei 已提交
276 277 278 279 280
    case (rf, wb) =>
      rf.wen := wb.valid && wb.bits.uop.ctrl.rfWen
      rf.addr := wb.bits.uop.pdest
      rf.data := wb.bits.data
  }
281
}