CtrlBlock.scala 13.3 KB
Newer Older
1 2 3 4
package xiangshan.backend

import chisel3._
import chisel3.util._
Y
Yinan Xu 已提交
5
import utils._
6
import xiangshan._
L
LinJiawei 已提交
7
import xiangshan.backend.decode.{DecodeStage, ImmUnion}
L
LinJiawei 已提交
8
import xiangshan.backend.rename.{BusyTable, Rename}
9 10
import xiangshan.backend.dispatch.Dispatch
import xiangshan.backend.exu._
11
import xiangshan.backend.exu.Exu.exuConfigs
L
LinJiawei 已提交
12
import xiangshan.backend.ftq.{Ftq, FtqRead, GetPcByFtq}
13
import xiangshan.backend.regfile.RfReadPort
14
import xiangshan.backend.roq.{Roq, RoqCSRIO, RoqLsqIO, RoqPtr, RoqExceptionInfo}
Y
Yinan Xu 已提交
15
import xiangshan.mem.LsqEnqIO
16 17 18

class CtrlToIntBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.IntExuCnt, DecoupledIO(new MicroOp))
Y
Yinan Xu 已提交
19
  val readRf = Vec(NRIntReadPorts, Output(UInt(PhyRegIdxWidth.W)))
L
LinJiawei 已提交
20
  val jumpPc = Output(UInt(VAddrBits.W))
L
LinJiawei 已提交
21
  val jalr_target = Output(UInt(VAddrBits.W))
Y
YikeZhou 已提交
22 23
  // int block only uses port 0~7
  val readPortIndex = Vec(exuParameters.IntExuCnt, Output(UInt(log2Ceil(8 / 2).W))) // TODO parameterize 8 here
Y
Yinan Xu 已提交
24
  val redirect = ValidIO(new Redirect)
25
  val flush = Output(Bool())
26 27 28 29
}

class CtrlToFpBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.FpExuCnt, DecoupledIO(new MicroOp))
Y
Yinan Xu 已提交
30
  val readRf = Vec(NRFpReadPorts, Output(UInt(PhyRegIdxWidth.W)))
Y
YikeZhou 已提交
31 32
  // fp block uses port 0~11
  val readPortIndex = Vec(exuParameters.FpExuCnt, Output(UInt(log2Ceil((NRFpReadPorts - exuParameters.StuCnt) / 3).W)))
Y
Yinan Xu 已提交
33
  val redirect = ValidIO(new Redirect)
34
  val flush = Output(Bool())
35 36 37 38
}

class CtrlToLsBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.LsExuCnt, DecoupledIO(new MicroOp))
Y
Yinan Xu 已提交
39
  val enqLsq = Flipped(new LsqEnqIO)
Y
Yinan Xu 已提交
40
  val redirect = ValidIO(new Redirect)
41
  val flush = Output(Bool())
42 43
}

L
LinJiawei 已提交
44
class RedirectGenerator extends XSModule with HasCircularQueuePtrHelper {
L
LinJiawei 已提交
45 46 47
  val io = IO(new Bundle() {
    val loadRelay = Flipped(ValidIO(new Redirect))
    val exuMispredict = Vec(exuParameters.JmpCnt + exuParameters.AluCnt, Flipped(ValidIO(new ExuOutput)))
48
    val flush = Input(Bool())
L
LinJiawei 已提交
49
    val stage2FtqRead = new FtqRead
L
LinJiawei 已提交
50
    val stage2Redirect = ValidIO(new Redirect)
L
LinJiawei 已提交
51
    val stage3Redirect = ValidIO(new Redirect)
L
LinJiawei 已提交
52 53 54 55
  })
  /*
        LoadQueue  Jump  ALU0  ALU1  ALU2  ALU3   exception    Stage1
          |         |      |    |     |     |         |
L
LinJiawei 已提交
56
          |============= reg & compare =====|         |       ========
L
LinJiawei 已提交
57 58 59 60
                            |                         |
                            |                         |
                            |                         |        Stage2
                            |                         |
L
LinJiawei 已提交
61 62 63 64 65 66 67 68
                    redirect (flush backend)          |
                    |                                 |
               === reg ===                            |       ========
                    |                                 |
                    |----- mux (exception first) -----|        Stage3
                            |
                redirect (send to frontend)
   */
L
LinJiawei 已提交
69
  def selectOlderRedirect(x: Valid[Redirect], y: Valid[Redirect]): Valid[Redirect] = {
L
LinJiawei 已提交
70 71 72 73 74 75 76
    Mux(x.valid,
      Mux(y.valid,
        Mux(isAfter(x.bits.roqIdx, y.bits.roqIdx), y, x),
        x
      ),
      y
    )
L
LinJiawei 已提交
77
  }
78 79
  def selectOlderExuOutWithFlag(x: Valid[ExuOutput], y: Valid[ExuOutput]): (Valid[ExuOutput], Bool) = {
    val yIsOlder = Mux(x.valid,
L
LinJiawei 已提交
80
      Mux(y.valid,
81 82
        Mux(isAfter(x.bits.redirect.roqIdx, y.bits.redirect.roqIdx), true.B, false.B),
        false.B
L
LinJiawei 已提交
83
      ),
84
      true.B
L
LinJiawei 已提交
85
    )
86 87 88 89 90
    val sel = Mux(yIsOlder, y, x)
    (sel, yIsOlder)
  }
  def selectOlderExuOut(x: Valid[ExuOutput], y: Valid[ExuOutput]): Valid[ExuOutput] = {
    selectOlderExuOutWithFlag(x, y)._1
L
LinJiawei 已提交
91 92 93
  }
  val jumpOut = io.exuMispredict.head
  val oldestAluOut = ParallelOperation(io.exuMispredict.tail, selectOlderExuOut)
94
  val (oldestExuOut, jumpIsOlder) = selectOlderExuOutWithFlag(oldestAluOut, jumpOut) // select between jump and alu
L
LinJiawei 已提交
95 96 97 98 99 100 101 102

  val oldestMispredict = selectOlderRedirect(io.loadRelay, {
    val redirect = Wire(Valid(new Redirect))
    redirect.valid := oldestExuOut.valid
    redirect.bits := oldestExuOut.bits.redirect
    redirect
  })

103 104
  XSDebug(oldestExuOut.valid, p"exuMispredict: ${Binary(Cat(io.exuMispredict.map(_.valid)))}\n")

105
  val s1_isJump = RegNext(jumpIsOlder, init = false.B)
L
LinJiawei 已提交
106
  val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid)
L
LinJiawei 已提交
107 108 109 110 111 112
  val s1_imm12_reg = RegEnable(oldestExuOut.bits.uop.ctrl.imm(11, 0), oldestExuOut.valid)
  val s1_pd = RegEnable(oldestExuOut.bits.uop.cf.pd, oldestExuOut.valid)
  val s1_redirect_bits_reg = Reg(new Redirect)
  val s1_redirect_valid_reg = RegInit(false.B)

  // stage1 -> stage2
113
  when(oldestMispredict.valid && !oldestMispredict.bits.roqIdx.needFlush(io.stage2Redirect, io.flush)){
L
LinJiawei 已提交
114 115 116 117 118 119 120 121 122 123 124 125
    s1_redirect_bits_reg := oldestMispredict.bits
    s1_redirect_valid_reg := true.B
  }.otherwise({
    s1_redirect_valid_reg := false.B
  })
  io.stage2Redirect.valid := s1_redirect_valid_reg
  io.stage2Redirect.bits := s1_redirect_bits_reg
  io.stage2Redirect.bits.cfiUpdate := DontCare
  // at stage2, we read ftq to get pc
  io.stage2FtqRead.ptr := s1_redirect_bits_reg.ftqIdx

  // stage3, calculate redirect target
L
LinJiawei 已提交
126 127
  val s2_isJump = RegNext(s1_isJump)
  val s2_jumpTarget = RegEnable(s1_jumpTarget, s1_redirect_valid_reg)
L
LinJiawei 已提交
128 129
  val s2_imm12_reg = RegEnable(s1_imm12_reg, s1_redirect_valid_reg)
  val s2_pd = RegEnable(s1_pd, s1_redirect_valid_reg)
L
LinJiawei 已提交
130
  val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, enable = s1_redirect_valid_reg)
131
  val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg && !io.flush, init = false.B)
L
LinJiawei 已提交
132 133

  val ftqRead = io.stage2FtqRead.entry
L
LinJiawei 已提交
134
  val pc = GetPcByFtq(ftqRead.ftqPC, s2_redirect_bits_reg.ftqOffset, ftqRead.hasLastPrev)
L
LinJiawei 已提交
135
  val brTarget = pc + SignExt(ImmUnion.B.toImm32(s2_imm12_reg), XLEN)
L
LinJiawei 已提交
136
  val snpc = pc + Mux(s2_pd.isRVC, 2.U, 4.U)
L
LinJiawei 已提交
137 138 139
  val isReplay = RedirectLevel.flushItself(s2_redirect_bits_reg.level)
  val target = Mux(isReplay,
    pc, // repaly from itself
L
LinJiawei 已提交
140 141 142
    Mux(s2_redirect_bits_reg.cfiUpdate.taken,
      Mux(s2_isJump, s2_jumpTarget, brTarget),
      snpc
L
LinJiawei 已提交
143 144 145 146 147 148 149 150 151 152 153
    )
  )
  io.stage3Redirect.valid := s2_redirect_valid_reg
  io.stage3Redirect.bits := s2_redirect_bits_reg
  val stage3CfiUpdate = io.stage3Redirect.bits.cfiUpdate
  stage3CfiUpdate.pc := pc
  stage3CfiUpdate.pd := s2_pd
  stage3CfiUpdate.rasSp := ftqRead.rasSp
  stage3CfiUpdate.rasEntry := ftqRead.rasTop
  stage3CfiUpdate.hist := ftqRead.hist
  stage3CfiUpdate.predHist := ftqRead.predHist
L
Lingrui98 已提交
154
  stage3CfiUpdate.specCnt := ftqRead.specCnt(s2_redirect_bits_reg.ftqOffset)
L
LinJiawei 已提交
155
  stage3CfiUpdate.predTaken := s2_redirect_bits_reg.cfiUpdate.predTaken
L
LinJiawei 已提交
156
  stage3CfiUpdate.sawNotTakenBranch := VecInit((0 until PredictWidth).map{ i =>
L
Lingrui98 已提交
157
    if(i == 0) false.B else Cat(ftqRead.br_mask.take(i)).orR()
L
LinJiawei 已提交
158 159 160 161
  })(s2_redirect_bits_reg.ftqOffset)
  stage3CfiUpdate.target := target
  stage3CfiUpdate.taken := s2_redirect_bits_reg.cfiUpdate.taken
  stage3CfiUpdate.isMisPred := s2_redirect_bits_reg.cfiUpdate.isMisPred
L
LinJiawei 已提交
162 163
}

Y
Yinan Xu 已提交
164
class CtrlBlock extends XSModule with HasCircularQueuePtrHelper {
165 166 167 168 169 170 171 172
  val io = IO(new Bundle {
    val frontend = Flipped(new FrontendToBackendIO)
    val fromIntBlock = Flipped(new IntBlockToCtrlIO)
    val fromFpBlock = Flipped(new FpBlockToCtrlIO)
    val fromLsBlock = Flipped(new LsBlockToCtrlIO)
    val toIntBlock = new CtrlToIntBlockIO
    val toFpBlock = new CtrlToFpBlockIO
    val toLsBlock = new CtrlToLsBlockIO
Y
Yinan Xu 已提交
173 174 175
    val roqio = new Bundle {
      // to int block
      val toCSR = new RoqCSRIO
176
      val exception = ValidIO(new RoqExceptionInfo)
Y
Yinan Xu 已提交
177
      // to mem block
178
      val lsq = new RoqLsqIO
Y
Yinan Xu 已提交
179
    }
180 181
  })

182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197
  val difftestIO = IO(new Bundle() {
    val fromRoq = new Bundle() {
      val commit = Output(UInt(32.W))
      val thisPC = Output(UInt(XLEN.W))
      val thisINST = Output(UInt(32.W))
      val skip = Output(UInt(32.W))
      val wen = Output(UInt(32.W))
      val wdata = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6
      val wdst = Output(Vec(CommitWidth, UInt(32.W))) // set difftest width to 6
      val wpc = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6
      val isRVC = Output(UInt(32.W))
      val scFailed = Output(Bool())
    }
  })
  difftestIO <> DontCare

L
LinJiawei 已提交
198
  val ftq = Module(new Ftq)
199 200 201
  val trapIO = IO(new TrapIO())
  trapIO <> DontCare

202 203
  val decode = Module(new DecodeStage)
  val rename = Module(new Rename)
204
  val dispatch = Module(new Dispatch)
Y
Yinan Xu 已提交
205 206
  val intBusyTable = Module(new BusyTable(NRIntReadPorts, NRIntWritePorts))
  val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts))
L
LinJiawei 已提交
207
  val redirectGen = Module(new RedirectGenerator)
208

L
LinJiawei 已提交
209
  val roqWbSize = NRIntWritePorts + NRFpWritePorts + exuParameters.StuCnt
210 211

  val roq = Module(new Roq(roqWbSize))
212

L
LinJiawei 已提交
213
  val backendRedirect = redirectGen.io.stage2Redirect
L
LinJiawei 已提交
214
  val frontendRedirect = redirectGen.io.stage3Redirect
215
  val flush = roq.io.flushOut.valid
L
LinJiawei 已提交
216 217 218 219 220 221

  redirectGen.io.exuMispredict.zip(io.fromIntBlock.exuRedirect).map({case (x, y) =>
    x.valid := y.valid && y.bits.redirect.cfiUpdate.isMisPred
    x.bits := y.bits
  })
  redirectGen.io.loadRelay := io.fromLsBlock.replay
222
  redirectGen.io.flush := flush
223

L
LinJiawei 已提交
224 225
  ftq.io.enq <> io.frontend.fetchInfo
  for(i <- 0 until CommitWidth){
L
LinJiawei 已提交
226
    ftq.io.roq_commits(i).valid := roq.io.commits.valid(i) && !roq.io.commits.isWalk
L
LinJiawei 已提交
227 228 229
    ftq.io.roq_commits(i).bits := roq.io.commits.info(i)
  }
  ftq.io.redirect <> backendRedirect
230
  ftq.io.flush := flush
L
LinJiawei 已提交
231 232
  ftq.io.flushIdx := roq.io.flushOut.bits.ftqIdx
  ftq.io.flushOffset := roq.io.flushOut.bits.ftqOffset
L
LinJiawei 已提交
233
  ftq.io.frontendRedirect <> frontendRedirect
L
LinJiawei 已提交
234 235
  ftq.io.exuWriteback <> io.fromIntBlock.exuRedirect

L
LinJiawei 已提交
236
  ftq.io.ftqRead(1) <> redirectGen.io.stage2FtqRead
237 238 239 240 241 242 243 244 245 246 247 248
  ftq.io.ftqRead(2).ptr := roq.io.flushOut.bits.ftqIdx
  val flushPC = GetPcByFtq(
    ftq.io.ftqRead(2).entry.ftqPC,
    RegEnable(roq.io.flushOut.bits.ftqOffset, roq.io.flushOut.valid),
    ftq.io.ftqRead(2).entry.hasLastPrev
  )

  val flushRedirect = Wire(Valid(new Redirect))
  flushRedirect.valid := RegNext(flush)
  flushRedirect.bits := DontCare
  flushRedirect.bits.ftqIdx := RegEnable(roq.io.flushOut.bits.ftqIdx, flush)
  flushRedirect.bits.interrupt := true.B
L
LinJiawei 已提交
249 250 251
  flushRedirect.bits.cfiUpdate.target := Mux(io.roqio.toCSR.isXRet || roq.io.exception.valid,
    io.roqio.toCSR.trapTarget,
    flushPC + 4.U // flush pipe
252
  )
L
LinJiawei 已提交
253

254
  io.frontend.redirect_cfiUpdate := Mux(flushRedirect.valid, flushRedirect, frontendRedirect)
L
LinJiawei 已提交
255
  io.frontend.commit_cfiUpdate := ftq.io.commit_ftqEntry
256 257
  io.frontend.ftqEnqPtr := ftq.io.enqPtr
  io.frontend.ftqLeftOne := ftq.io.leftOne
Y
Yinan Xu 已提交
258

259 260
  decode.io.in <> io.frontend.cfVec

L
LinJiawei 已提交
261
  val jumpInst = dispatch.io.enqIQCtrl(0).bits
L
LinJiawei 已提交
262 263
  val ftqOffsetReg = Reg(UInt(log2Up(PredictWidth).W))
  ftqOffsetReg := jumpInst.cf.ftqOffset
L
LinJiawei 已提交
264
  ftq.io.ftqRead(0).ptr := jumpInst.cf.ftqPtr // jump
L
LinJiawei 已提交
265 266 267
  io.toIntBlock.jumpPc := GetPcByFtq(
    ftq.io.ftqRead(0).entry.ftqPC, ftqOffsetReg, ftq.io.ftqRead(0).entry.hasLastPrev
  )
L
LinJiawei 已提交
268
  io.toIntBlock.jalr_target := ftq.io.ftqRead(0).entry.target
L
LinJiawei 已提交
269

270 271
  // pipeline between decode and dispatch
  for (i <- 0 until RenameWidth) {
L
LinJiawei 已提交
272
    PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready,
L
LinJiawei 已提交
273
      backendRedirect.valid || flush || io.frontend.redirect_cfiUpdate.valid)
274
  }
275

L
LinJiawei 已提交
276
  rename.io.redirect <> backendRedirect
277
  rename.io.flush := flush
278 279
  rename.io.roqCommits <> roq.io.commits
  rename.io.out <> dispatch.io.fromRename
280
  rename.io.renameBypass <> dispatch.io.renameBypass
281

L
LinJiawei 已提交
282
  dispatch.io.redirect <> backendRedirect
283
  dispatch.io.flush := flush
284
  dispatch.io.enqRoq <> roq.io.enq
285
  dispatch.io.enqLsq <> io.toLsBlock.enqLsq
Y
Yinan Xu 已提交
286 287
  dispatch.io.readIntRf <> io.toIntBlock.readRf
  dispatch.io.readFpRf <> io.toFpBlock.readRf
Y
Yinan Xu 已提交
288 289
  dispatch.io.allocPregs.zipWithIndex.foreach { case (preg, i) =>
    intBusyTable.io.allocPregs(i).valid := preg.isInt
290
    fpBusyTable.io.allocPregs(i).valid := preg.isFp
Y
Yinan Xu 已提交
291 292 293
    intBusyTable.io.allocPregs(i).bits := preg.preg
    fpBusyTable.io.allocPregs(i).bits := preg.preg
  }
294
  dispatch.io.numExist <> io.fromIntBlock.numExist ++ io.fromFpBlock.numExist ++ io.fromLsBlock.numExist
Y
Yinan Xu 已提交
295
  dispatch.io.enqIQCtrl <> io.toIntBlock.enqIqCtrl ++ io.toFpBlock.enqIqCtrl ++ io.toLsBlock.enqIqCtrl
296
//  dispatch.io.enqIQData <> io.toIntBlock.enqIqData ++ io.toFpBlock.enqIqData ++ io.toLsBlock.enqIqData
297

L
LinJiawei 已提交
298

Y
Yinan Xu 已提交
299 300 301
  fpBusyTable.io.flush := flush
  intBusyTable.io.flush := flush
  for((wb, setPhyRegRdy) <- io.fromIntBlock.wbRegs.zip(intBusyTable.io.wbPregs)){
302
    setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.rfWen
Y
Yinan Xu 已提交
303 304 305 306 307 308
    setPhyRegRdy.bits := wb.bits.uop.pdest
  }
  for((wb, setPhyRegRdy) <- io.fromFpBlock.wbRegs.zip(fpBusyTable.io.wbPregs)){
    setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.fpWen
    setPhyRegRdy.bits := wb.bits.uop.pdest
  }
Y
Yinan Xu 已提交
309 310
  intBusyTable.io.read <> dispatch.io.readIntState
  fpBusyTable.io.read <> dispatch.io.readFpState
Y
Yinan Xu 已提交
311

L
LinJiawei 已提交
312
  roq.io.redirect <> backendRedirect
L
LinJiawei 已提交
313
  roq.io.exeWbResults.zip(
L
LinJiawei 已提交
314 315 316 317
    io.fromIntBlock.wbRegs ++ io.fromFpBlock.wbRegs ++ io.fromLsBlock.stOut
  ).foreach{
    case(x, y) =>
      x.bits := y.bits
L
LinJiawei 已提交
318
      x.valid := y.valid
L
LinJiawei 已提交
319
  }
L
LinJiawei 已提交
320 321 322

  // TODO: is 'backendRedirect' necesscary?
  io.toIntBlock.redirect <> backendRedirect
323
  io.toIntBlock.flush <> flush
L
LinJiawei 已提交
324
  io.toFpBlock.redirect <> backendRedirect
325
  io.toFpBlock.flush <> flush
L
LinJiawei 已提交
326
  io.toLsBlock.redirect <> backendRedirect
327
  io.toLsBlock.flush <> flush
328

329 330
  if (env.DualCoreDifftest) {
    difftestIO.fromRoq <> roq.difftestIO
331
    trapIO <> roq.trapIO
332 333
  }

334 335 336
  dispatch.io.readPortIndex.intIndex <> io.toIntBlock.readPortIndex
  dispatch.io.readPortIndex.fpIndex <> io.toFpBlock.readPortIndex

Y
Yinan Xu 已提交
337 338
  // roq to int block
  io.roqio.toCSR <> roq.io.csr
339
  io.roqio.exception := roq.io.exception
340
  io.roqio.exception.bits.uop.cf.pc := flushPC
Y
Yinan Xu 已提交
341
  // roq to mem block
342
  io.roqio.lsq <> roq.io.lsq
343
}