CtrlBlock.scala 15.3 KB
Newer Older
L
Lemover 已提交
1 2
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
Y
Yinan Xu 已提交
3
* Copyright (c) 2020-2021 Peng Cheng Laboratory
L
Lemover 已提交
4 5 6 7 8 9 10 11 12 13 14 15 16
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

17 18
package xiangshan.backend

19
import chipsalliance.rocketchip.config.Parameters
20 21
import chisel3._
import chisel3.util._
Y
Yinan Xu 已提交
22
import utils._
23
import xiangshan._
24
import xiangshan.backend.decode.{DecodeStage, ImmUnion}
25
import xiangshan.backend.dispatch.{Dispatch, DispatchQueue}
26
import xiangshan.backend.rename.{Rename, RenameTableWrapper}
27 28
import xiangshan.backend.rob.{Rob, RobCSRIO, RobLsqIO}
import xiangshan.frontend.{FtqPtr, FtqRead}
Y
Yinan Xu 已提交
29
import xiangshan.mem.LsqEnqIO
W
William Wang 已提交
30
import difftest._
31

32
class CtrlToFtqIO(implicit p: Parameters) extends XSBundle {
Y
Yinan Xu 已提交
33
  val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo))
34
  val stage2Redirect = Valid(new Redirect)
L
Lingrui98 已提交
35
  val stage3Redirect = ValidIO(new Redirect)
36
  val robFlush = ValidIO(new Redirect)
37 38
}

39
class RedirectGenerator(implicit p: Parameters) extends XSModule
40
  with HasCircularQueuePtrHelper {
L
ljw 已提交
41
  val numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt
L
LinJiawei 已提交
42
  val io = IO(new Bundle() {
L
ljw 已提交
43
    val exuMispredict = Vec(numRedirect, Flipped(ValidIO(new ExuOutput)))
L
ljw 已提交
44
    val loadReplay = Flipped(ValidIO(new Redirect))
45
    val flush = Input(Bool())
Z
zoujr 已提交
46
    val stage1PcRead = Vec(numRedirect+1, new FtqRead(UInt(VAddrBits.W)))
L
LinJiawei 已提交
47
    val stage2Redirect = ValidIO(new Redirect)
L
LinJiawei 已提交
48
    val stage3Redirect = ValidIO(new Redirect)
49
    val memPredUpdate = Output(new MemPredUpdateReq)
Z
zoujr 已提交
50
    val memPredPcRead = new FtqRead(UInt(VAddrBits.W)) // read req send form stage 2
L
LinJiawei 已提交
51 52 53 54
  })
  /*
        LoadQueue  Jump  ALU0  ALU1  ALU2  ALU3   exception    Stage1
          |         |      |    |     |     |         |
L
LinJiawei 已提交
55
          |============= reg & compare =====|         |       ========
L
LinJiawei 已提交
56 57 58 59
                            |                         |
                            |                         |
                            |                         |        Stage2
                            |                         |
L
LinJiawei 已提交
60 61 62 63 64 65 66 67
                    redirect (flush backend)          |
                    |                                 |
               === reg ===                            |       ========
                    |                                 |
                    |----- mux (exception first) -----|        Stage3
                            |
                redirect (send to frontend)
   */
L
ljw 已提交
68 69 70 71
  private class Wrapper(val n: Int) extends Bundle {
    val redirect = new Redirect
    val valid = Bool()
    val idx = UInt(log2Up(n).W)
72
  }
73
  def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = {
Y
Yinan Xu 已提交
74
    val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx)))
75 76 77 78 79 80
    val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j =>
      (if (j < i) !xs(j).valid || compareVec(i)(j)
      else if (j == i) xs(i).valid
      else !xs(j).valid || !compareVec(j)(i))
    )).andR))
    resultOnehot
L
LinJiawei 已提交
81 82
  }

83
  val redirects = io.exuMispredict.map(_.bits.redirect) :+ io.loadReplay.bits
Y
Yinan Xu 已提交
84 85
  val stage1FtqReadPcs =
    (io.stage1PcRead zip redirects).map{ case (r, redirect) =>
86 87
      r(redirect.ftqIdx, redirect.ftqOffset)
    }
L
ljw 已提交
88 89

  def getRedirect(exuOut: Valid[ExuOutput]): ValidIO[Redirect] = {
L
LinJiawei 已提交
90
    val redirect = Wire(Valid(new Redirect))
L
ljw 已提交
91 92
    redirect.valid := exuOut.valid && exuOut.bits.redirect.cfiUpdate.isMisPred
    redirect.bits := exuOut.bits.redirect
L
LinJiawei 已提交
93
    redirect
L
ljw 已提交
94
  }
L
LinJiawei 已提交
95

L
ljw 已提交
96
  val jumpOut = io.exuMispredict.head
97 98
  val allRedirect = VecInit(io.exuMispredict.map(x => getRedirect(x)) :+ io.loadReplay)
  val oldestOneHot = selectOldestRedirect(allRedirect)
99
  val needFlushVec = VecInit(allRedirect.map(_.bits.robIdx.needFlush(io.stage2Redirect) || io.flush))
100
  val oldestValid = VecInit(oldestOneHot.zip(needFlushVec).map{ case (v, f) => v && !f }).asUInt.orR
101
  val oldestExuOutput = Mux1H(io.exuMispredict.indices.map(oldestOneHot), io.exuMispredict)
102
  val oldestRedirect = Mux1H(oldestOneHot, allRedirect)
103

L
LinJiawei 已提交
104
  val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid)
105 106 107 108 109
  val s1_imm12_reg = RegNext(oldestExuOutput.bits.uop.ctrl.imm(11, 0))
  val s1_pd = RegNext(oldestExuOutput.bits.uop.cf.pd)
  val s1_redirect_bits_reg = RegNext(oldestRedirect.bits)
  val s1_redirect_valid_reg = RegNext(oldestValid)
  val s1_redirect_onehot = RegNext(oldestOneHot)
L
LinJiawei 已提交
110 111

  // stage1 -> stage2
112
  io.stage2Redirect.valid := s1_redirect_valid_reg && !io.flush
L
LinJiawei 已提交
113 114 115
  io.stage2Redirect.bits := s1_redirect_bits_reg
  io.stage2Redirect.bits.cfiUpdate := DontCare

116 117
  val s1_isReplay = s1_redirect_onehot.last
  val s1_isJump = s1_redirect_onehot.head
118
  val real_pc = Mux1H(s1_redirect_onehot, stage1FtqReadPcs)
L
ljw 已提交
119 120
  val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN)
  val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U)
121
  val target = Mux(s1_isReplay,
122
    real_pc, // replay from itself
L
ljw 已提交
123 124
    Mux(s1_redirect_bits_reg.cfiUpdate.taken,
      Mux(s1_isJump, s1_jumpTarget, brTarget),
L
LinJiawei 已提交
125
      snpc
L
LinJiawei 已提交
126 127
    )
  )
128

129 130 131
  // get pc from ftq
  // valid only if redirect is caused by load violation
  // store_pc is used to update store set
132
  val store_pc = io.memPredPcRead(s1_redirect_bits_reg.stFtqIdx, s1_redirect_bits_reg.stFtqOffset)
133 134 135 136 137 138 139 140 141 142

  // update load violation predictor if load violation redirect triggered
  io.memPredUpdate.valid := RegNext(s1_isReplay && s1_redirect_valid_reg, init = false.B)
  // update wait table
  io.memPredUpdate.waddr := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth))
  io.memPredUpdate.wdata := true.B
  // update store set
  io.memPredUpdate.ldpc := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth))
  // store pc is ready 1 cycle after s1_isReplay is judged
  io.memPredUpdate.stpc := XORFold(store_pc(VAddrBits-1, 1), MemPredPCWidth)
143

L
ljw 已提交
144 145
  val s2_target = RegEnable(target, enable = s1_redirect_valid_reg)
  val s2_pd = RegEnable(s1_pd, enable = s1_redirect_valid_reg)
146
  val s2_pc = RegEnable(real_pc, enable = s1_redirect_valid_reg)
L
ljw 已提交
147 148 149
  val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, enable = s1_redirect_valid_reg)
  val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg && !io.flush, init = false.B)

L
LinJiawei 已提交
150 151 152
  io.stage3Redirect.valid := s2_redirect_valid_reg
  io.stage3Redirect.bits := s2_redirect_bits_reg
  val stage3CfiUpdate = io.stage3Redirect.bits.cfiUpdate
153
  stage3CfiUpdate.pc := s2_pc
L
LinJiawei 已提交
154
  stage3CfiUpdate.pd := s2_pd
L
LinJiawei 已提交
155
  stage3CfiUpdate.predTaken := s2_redirect_bits_reg.cfiUpdate.predTaken
L
ljw 已提交
156
  stage3CfiUpdate.target := s2_target
L
LinJiawei 已提交
157 158
  stage3CfiUpdate.taken := s2_redirect_bits_reg.cfiUpdate.taken
  stage3CfiUpdate.isMisPred := s2_redirect_bits_reg.cfiUpdate.isMisPred
W
William Wang 已提交
159 160 161 162 163 164 165 166 167 168 169

  // recover runahead checkpoint if redirect
  if (!env.FPGAPlatform) {
    val runahead_redirect = Module(new DifftestRunaheadRedirectEvent)
    runahead_redirect.io.clock := clock
    runahead_redirect.io.coreid := hardId.U
    runahead_redirect.io.valid := io.stage3Redirect.valid
    runahead_redirect.io.pc :=  s2_pc // for debug only
    runahead_redirect.io.target_pc := s2_target // for debug only
    runahead_redirect.io.checkpoint_id := io.stage3Redirect.bits.debug_runahead_checkpoint_id // make sure it is right
  }
L
LinJiawei 已提交
170 171
}

172
class CtrlBlock(implicit p: Parameters) extends XSModule
173
  with HasCircularQueuePtrHelper {
174
  val io = IO(new Bundle {
175
    val frontend = Flipped(new FrontendToCtrlIO)
176 177
    val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq))
    val dispatch = Vec(3*dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
178 179 180 181 182 183 184 185
    // from int block
    val exuRedirect = Vec(exuParameters.AluCnt + exuParameters.JmpCnt, Flipped(ValidIO(new ExuOutput)))
    val stIn = Vec(exuParameters.StuCnt, Flipped(ValidIO(new ExuInput)))
    val stOut = Vec(exuParameters.StuCnt, Flipped(ValidIO(new ExuOutput)))
    val memoryViolation = Flipped(ValidIO(new Redirect))
    val enqLsq = Flipped(new LsqEnqIO)
    val jumpPc = Output(UInt(VAddrBits.W))
    val jalr_target = Output(UInt(VAddrBits.W))
Y
Yinan Xu 已提交
186
    val robio = new Bundle {
Y
Yinan Xu 已提交
187
      // to int block
Y
Yinan Xu 已提交
188
      val toCSR = new RobCSRIO
189
      val exception = ValidIO(new ExceptionInfo)
Y
Yinan Xu 已提交
190
      // to mem block
Y
Yinan Xu 已提交
191
      val lsq = new RobLsqIO
Y
Yinan Xu 已提交
192
    }
193
    val csrCtrl = Input(new CustomCSRCtrlIO)
194 195
    val perfInfo = Output(new Bundle{
      val ctrlInfo = new Bundle {
Y
Yinan Xu 已提交
196
        val robFull   = Input(Bool())
197 198 199 200 201
        val intdqFull = Input(Bool())
        val fpdqFull  = Input(Bool())
        val lsdqFull  = Input(Bool())
      }
    })
202
    val writeback = Vec(NRIntWritePorts + NRFpWritePorts, Flipped(ValidIO(new ExuOutput)))
203 204 205 206
    // redirect out
    val redirect = ValidIO(new Redirect)
    val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W)))
    val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W)))
207 208 209
  })

  val decode = Module(new DecodeStage)
210
  val rat = Module(new RenameTableWrapper)
211
  val rename = Module(new Rename)
212
  val dispatch = Module(new Dispatch)
213 214 215
  val intDq = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth, "int"))
  val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.FpDqDeqWidth, "fp"))
  val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth, "ls"))
L
LinJiawei 已提交
216
  val redirectGen = Module(new RedirectGenerator)
217

Y
Yinan Xu 已提交
218 219
  val robWbSize = NRIntWritePorts + NRFpWritePorts + exuParameters.StuCnt
  val rob = Module(new Rob(robWbSize))
220

221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240
  val robPcRead = io.frontend.fromFtq.getRobFlushPcRead
  val flushPC = robPcRead(rob.io.flushOut.bits.ftqIdx, rob.io.flushOut.bits.ftqOffset)

  val flushRedirect = Wire(Valid(new Redirect))
  flushRedirect.valid := RegNext(rob.io.flushOut.valid)
  flushRedirect.bits := RegEnable(rob.io.flushOut.bits, rob.io.flushOut.valid)
  flushRedirect.bits.cfiUpdate.target := Mux(io.robio.toCSR.isXRet || rob.io.exception.valid,
    io.robio.toCSR.trapTarget,
    Mux(flushRedirect.bits.flushItself(),
      flushPC, // replay inst
      flushPC + 4.U // flush pipe
    )
  )

  val flushRedirectReg = Wire(Valid(new Redirect))
  flushRedirectReg.valid := RegNext(flushRedirect.valid, init = false.B)
  flushRedirectReg.bits := RegEnable(flushRedirect.bits, enable = flushRedirect.valid)

  val stage2Redirect = Mux(flushRedirect.valid, flushRedirect, redirectGen.io.stage2Redirect)
  val stage3Redirect = Mux(flushRedirectReg.valid, flushRedirectReg, redirectGen.io.stage3Redirect)
L
LinJiawei 已提交
241

242
  val exuRedirect = io.exuRedirect.map(x => {
L
ljw 已提交
243
    val valid = x.valid && x.bits.redirectValid
244
    val killedByOlder = x.bits.uop.robIdx.needFlush(stage2Redirect)
L
ljw 已提交
245 246 247 248
    val delayed = Wire(Valid(new ExuOutput))
    delayed.valid := RegNext(valid && !killedByOlder, init = false.B)
    delayed.bits := RegEnable(x.bits, x.valid)
    delayed
L
LinJiawei 已提交
249
  })
L
ljw 已提交
250
  val loadReplay = Wire(Valid(new Redirect))
251
  loadReplay.valid := RegNext(io.memoryViolation.valid &&
252
    !io.memoryViolation.bits.robIdx.needFlush(stage2Redirect),
L
ljw 已提交
253 254
    init = false.B
  )
255
  loadReplay.bits := RegEnable(io.memoryViolation.bits, io.memoryViolation.valid)
256 257
  io.frontend.fromFtq.getRedirectPcRead <> redirectGen.io.stage1PcRead
  io.frontend.fromFtq.getMemPredPcRead <> redirectGen.io.memPredPcRead
L
ljw 已提交
258
  redirectGen.io.exuMispredict <> exuRedirect
L
ljw 已提交
259
  redirectGen.io.loadReplay <> loadReplay
260
  redirectGen.io.flush := RegNext(rob.io.flushOut.valid)
261

L
LinJiawei 已提交
262
  for(i <- 0 until CommitWidth){
Y
Yinan Xu 已提交
263 264
    io.frontend.toFtq.rob_commits(i).valid := rob.io.commits.valid(i) && !rob.io.commits.isWalk
    io.frontend.toFtq.rob_commits(i).bits := rob.io.commits.info(i)
L
LinJiawei 已提交
265
  }
266
  io.frontend.toFtq.stage2Redirect <> stage2Redirect
Y
Yinan Xu 已提交
267
  io.frontend.toFtq.robFlush <> RegNext(rob.io.flushOut)
268
  io.frontend.toFtq.stage3Redirect := stage3Redirect
Y
Yinan Xu 已提交
269

270
  decode.io.in <> io.frontend.cfVec
271
  // currently, we only update wait table when isReplay
272 273 274
  decode.io.memPredUpdate(0) <> RegNext(redirectGen.io.memPredUpdate)
  decode.io.memPredUpdate(1) := DontCare
  decode.io.memPredUpdate(1).valid := false.B
275 276
  decode.io.csrCtrl := RegNext(io.csrCtrl)

277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293
  rat.io.robCommits := rob.io.commits
  for ((r, i) <- rat.io.intReadPorts.zipWithIndex) {
    val raddr = decode.io.out(i).bits.ctrl.lsrc.take(2) :+ decode.io.out(i).bits.ctrl.ldest
    r.map(_.addr).zip(raddr).foreach(x => x._1 := x._2)
    rename.io.intReadPorts(i) := r.map(_.data)
    r.foreach(_.hold := !rename.io.in(i).ready)
  }
  rat.io.intRenamePorts := rename.io.intRenamePorts
  for ((r, i) <- rat.io.fpReadPorts.zipWithIndex) {
    val raddr = decode.io.out(i).bits.ctrl.lsrc.take(3) :+ decode.io.out(i).bits.ctrl.ldest
    r.map(_.addr).zip(raddr).foreach(x => x._1 := x._2)
    rename.io.fpReadPorts(i) := r.map(_.data)
    r.foreach(_.hold := !rename.io.in(i).ready)
  }
  rat.io.fpRenamePorts := rename.io.fpRenamePorts
  rat.io.debug_int_rat <> io.debug_int_rat
  rat.io.debug_fp_rat <> io.debug_fp_rat
L
LinJiawei 已提交
294

295
  // pipeline between decode and rename
296
  for (i <- 0 until RenameWidth) {
L
LinJiawei 已提交
297
    PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready,
298
      stage2Redirect.valid || stage3Redirect.valid)
299
  }
300

301
  rename.io.redirect <> stage2Redirect
Y
Yinan Xu 已提交
302
  rename.io.robCommits <> rob.io.commits
303

304 305
  // pipeline between rename and dispatch
  for (i <- 0 until RenameWidth) {
306
    PipelineConnect(rename.io.out(i), dispatch.io.fromRename(i), dispatch.io.recv(i), stage2Redirect.valid)
307 308 309
  }
  dispatch.io.preDpInfo := RegEnable(rename.io.dispatchInfo, rename.io.out(0).fire)

310
  dispatch.io.redirect <> stage2Redirect
Y
Yinan Xu 已提交
311
  dispatch.io.enqRob <> rob.io.enq
312
  dispatch.io.enqLsq <> io.enqLsq
313 314 315 316
  dispatch.io.toIntDq <> intDq.io.enq
  dispatch.io.toFpDq <> fpDq.io.enq
  dispatch.io.toLsDq <> lsDq.io.enq
  dispatch.io.allocPregs <> io.allocPregs
317
  dispatch.io.csrCtrl <> io.csrCtrl
318
  dispatch.io.storeIssue <> io.stIn
319 320 321 322 323 324 325
  dispatch.io.singleStep := false.B

  intDq.io.redirect <> stage2Redirect
  fpDq.io.redirect <> stage2Redirect
  lsDq.io.redirect <> stage2Redirect

  io.dispatch <> intDq.io.deq ++ lsDq.io.deq ++ fpDq.io.deq
Y
Yinan Xu 已提交
326

327 328 329
  val pingpong = RegInit(false.B)
  pingpong := !pingpong
  val jumpInst = Mux(pingpong && (exuParameters.AluCnt > 2).B, io.dispatch(2).bits, io.dispatch(0).bits)
330 331 332 333 334
  val jumpPcRead = io.frontend.fromFtq.getJumpPcRead
  io.jumpPc := jumpPcRead(jumpInst.cf.ftqPtr, jumpInst.cf.ftqOffset)
  val jumpTargetRead = io.frontend.fromFtq.target_read
  io.jalr_target := jumpTargetRead(jumpInst.cf.ftqPtr, jumpInst.cf.ftqOffset)

Y
Yinan Xu 已提交
335
  rob.io.redirect <> stage2Redirect
336
  val exeWbResults = VecInit(io.writeback ++ io.stOut)
337
  val timer = GTimer()
Y
Yinan Xu 已提交
338
  for((rob_wb, wb) <- rob.io.exeWbResults.zip(exeWbResults)) {
339
    rob_wb.valid := RegNext(wb.valid && !wb.bits.uop.robIdx.needFlush(stage2Redirect))
Y
Yinan Xu 已提交
340 341
    rob_wb.bits := RegNext(wb.bits)
    rob_wb.bits.uop.debugInfo.writebackTime := timer
L
ljw 已提交
342
  }
L
LinJiawei 已提交
343

344
  io.redirect <> stage2Redirect
345

Y
Yinan Xu 已提交
346 347 348 349 350
  // rob to int block
  io.robio.toCSR <> rob.io.csr
  io.robio.toCSR.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr)
  io.robio.exception := rob.io.exception
  io.robio.exception.bits.uop.cf.pc := flushPC
351

Y
Yinan Xu 已提交
352 353
  // rob to mem block
  io.robio.lsq <> rob.io.lsq
354

Y
Yinan Xu 已提交
355
  io.perfInfo.ctrlInfo.robFull := RegNext(rob.io.robFull)
356 357 358
  io.perfInfo.ctrlInfo.intdqFull := RegNext(intDq.io.dqFull)
  io.perfInfo.ctrlInfo.fpdqFull := RegNext(fpDq.io.dqFull)
  io.perfInfo.ctrlInfo.lsdqFull := RegNext(lsDq.io.dqFull)
359
}