emulate.c 122.9 KB
Newer Older
A
Avi Kivity 已提交
1
/******************************************************************************
2
 * emulate.c
A
Avi Kivity 已提交
3 4 5 6 7 8
 *
 * Generic x86 (32-bit and 64-bit) instruction decoder and emulator.
 *
 * Copyright (c) 2005 Keir Fraser
 *
 * Linux coding style, mod r/m decoder, segment base fixes, real-mode
9
 * privileged instructions:
A
Avi Kivity 已提交
10 11
 *
 * Copyright (C) 2006 Qumranet
N
Nicolas Kaiser 已提交
12
 * Copyright 2010 Red Hat, Inc. and/or its affiliates.
A
Avi Kivity 已提交
13 14 15 16 17 18 19 20 21 22
 *
 *   Avi Kivity <avi@qumranet.com>
 *   Yaniv Kamay <yaniv@qumranet.com>
 *
 * This work is licensed under the terms of the GNU GPL, version 2.  See
 * the COPYING file in the top-level directory.
 *
 * From: xen-unstable 10676:af9809f51f81a3c43f276f00c81a52ef558afda4
 */

23
#include <linux/kvm_host.h>
24
#include "kvm_cache_regs.h"
A
Avi Kivity 已提交
25
#include <linux/module.h>
26
#include <asm/kvm_emulate.h>
27
#include <linux/stringify.h>
A
Avi Kivity 已提交
28

29
#include "x86.h"
30
#include "tss.h"
31

32 33 34
/*
 * Operand types
 */
35 36 37 38 39 40 41 42 43
#define OpNone             0ull
#define OpImplicit         1ull  /* No generic decode */
#define OpReg              2ull  /* Register */
#define OpMem              3ull  /* Memory */
#define OpAcc              4ull  /* Accumulator: AL/AX/EAX/RAX */
#define OpDI               5ull  /* ES:DI/EDI/RDI */
#define OpMem64            6ull  /* Memory, 64-bit */
#define OpImmUByte         7ull  /* Zero-extended 8-bit immediate */
#define OpDX               8ull  /* DX register */
44 45 46
#define OpCL               9ull  /* CL register (for shifts) */
#define OpImmByte         10ull  /* 8-bit sign extended immediate */
#define OpOne             11ull  /* Implied 1 */
47
#define OpImm             12ull  /* Sign extended up to 32-bit immediate */
48 49 50 51 52 53 54
#define OpMem16           13ull  /* Memory operand (16-bit). */
#define OpMem32           14ull  /* Memory operand (32-bit). */
#define OpImmU            15ull  /* Immediate operand, zero extended */
#define OpSI              16ull  /* SI/ESI/RSI */
#define OpImmFAddr        17ull  /* Immediate far address */
#define OpMemFAddr        18ull  /* Far address in memory */
#define OpImmU16          19ull  /* Immediate operand, 16 bits, zero extended */
55 56 57 58 59 60
#define OpES              20ull  /* ES */
#define OpCS              21ull  /* CS */
#define OpSS              22ull  /* SS */
#define OpDS              23ull  /* DS */
#define OpFS              24ull  /* FS */
#define OpGS              25ull  /* GS */
61
#define OpMem8            26ull  /* 8-bit zero extended memory operand */
62
#define OpImm64           27ull  /* Sign extended 16/32/64-bit immediate */
P
Paolo Bonzini 已提交
63
#define OpXLat            28ull  /* memory at BX/EBX/RBX + zero-extended AL */
64 65
#define OpAccLo           29ull  /* Low part of extended acc (AX/AX/EAX/RAX) */
#define OpAccHi           30ull  /* High part of extended acc (-/DX/EDX/RDX) */
66 67

#define OpBits             5  /* Width of operand field */
68
#define OpMask             ((1ull << OpBits) - 1)
69

A
Avi Kivity 已提交
70 71 72 73 74 75 76 77 78 79
/*
 * Opcode effective-address decode tables.
 * Note that we only emulate instructions that have at least one memory
 * operand (excluding implicit stack references). We assume that stack
 * references and instruction fetches will never occur in special memory
 * areas that require emulation. So, for example, 'mov <imm>,<reg>' need
 * not be handled.
 */

/* Operand sizes: 8-bit operands or specified/overridden size. */
80
#define ByteOp      (1<<0)	/* 8-bit operands. */
A
Avi Kivity 已提交
81
/* Destination operand type. */
82 83 84 85 86 87 88 89 90
#define DstShift    1
#define ImplicitOps (OpImplicit << DstShift)
#define DstReg      (OpReg << DstShift)
#define DstMem      (OpMem << DstShift)
#define DstAcc      (OpAcc << DstShift)
#define DstDI       (OpDI << DstShift)
#define DstMem64    (OpMem64 << DstShift)
#define DstImmUByte (OpImmUByte << DstShift)
#define DstDX       (OpDX << DstShift)
91
#define DstAccLo    (OpAccLo << DstShift)
92
#define DstMask     (OpMask << DstShift)
A
Avi Kivity 已提交
93
/* Source operand type. */
94 95 96 97 98 99 100 101 102 103 104 105
#define SrcShift    6
#define SrcNone     (OpNone << SrcShift)
#define SrcReg      (OpReg << SrcShift)
#define SrcMem      (OpMem << SrcShift)
#define SrcMem16    (OpMem16 << SrcShift)
#define SrcMem32    (OpMem32 << SrcShift)
#define SrcImm      (OpImm << SrcShift)
#define SrcImmByte  (OpImmByte << SrcShift)
#define SrcOne      (OpOne << SrcShift)
#define SrcImmUByte (OpImmUByte << SrcShift)
#define SrcImmU     (OpImmU << SrcShift)
#define SrcSI       (OpSI << SrcShift)
P
Paolo Bonzini 已提交
106
#define SrcXLat     (OpXLat << SrcShift)
107 108 109 110
#define SrcImmFAddr (OpImmFAddr << SrcShift)
#define SrcMemFAddr (OpMemFAddr << SrcShift)
#define SrcAcc      (OpAcc << SrcShift)
#define SrcImmU16   (OpImmU16 << SrcShift)
111
#define SrcImm64    (OpImm64 << SrcShift)
112
#define SrcDX       (OpDX << SrcShift)
113
#define SrcMem8     (OpMem8 << SrcShift)
114
#define SrcAccHi    (OpAccHi << SrcShift)
115
#define SrcMask     (OpMask << SrcShift)
116 117 118 119 120 121 122 123 124
#define BitOp       (1<<11)
#define MemAbs      (1<<12)      /* Memory operand is absolute displacement */
#define String      (1<<13)     /* String instruction (rep capable) */
#define Stack       (1<<14)     /* Stack instruction (push/pop) */
#define GroupMask   (7<<15)     /* Opcode uses one of the group mechanisms */
#define Group       (1<<15)     /* Bits 3:5 of modrm byte extend opcode */
#define GroupDual   (2<<15)     /* Alternate decoding of mod == 3 */
#define Prefix      (3<<15)     /* Instruction varies with 66/f2/f3 prefix */
#define RMExt       (4<<15)     /* Opcode extension in ModRM r/m if mod == 3 */
125
#define Escape      (5<<15)     /* Escape to coprocessor instruction */
126
#define Sse         (1<<18)     /* SSE Vector instruction */
127 128 129 130
/* Generic ModRM decode. */
#define ModRM       (1<<19)
/* Destination is only written; never read. */
#define Mov         (1<<20)
131
/* Misc flags */
132
#define Prot        (1<<21) /* instruction generates #UD if not in prot-mode */
133
#define EmulateOnUD (1<<22) /* Emulate if unsupported by the host */
134
#define NoAccess    (1<<23) /* Don't access memory (lea/invlpg/verr etc) */
135
#define Op3264      (1<<24) /* Operand is 64b in long mode, 32b otherwise */
136
#define Undefined   (1<<25) /* No Such Instruction */
137
#define Lock        (1<<26) /* lock prefix is allowed for the instruction */
138
#define Priv        (1<<27) /* instruction generates #GP if current CPL != 0 */
139
#define No64	    (1<<28)
140
#define PageTable   (1 << 29)   /* instruction used to write page table */
141
#define NotImpl     (1 << 30)   /* instruction is not implemented */
142
/* Source 2 operand type */
143
#define Src2Shift   (31)
144
#define Src2None    (OpNone << Src2Shift)
145
#define Src2Mem     (OpMem << Src2Shift)
146 147 148 149
#define Src2CL      (OpCL << Src2Shift)
#define Src2ImmByte (OpImmByte << Src2Shift)
#define Src2One     (OpOne << Src2Shift)
#define Src2Imm     (OpImm << Src2Shift)
150 151 152 153 154 155
#define Src2ES      (OpES << Src2Shift)
#define Src2CS      (OpCS << Src2Shift)
#define Src2SS      (OpSS << Src2Shift)
#define Src2DS      (OpDS << Src2Shift)
#define Src2FS      (OpFS << Src2Shift)
#define Src2GS      (OpGS << Src2Shift)
156
#define Src2Mask    (OpMask << Src2Shift)
A
Avi Kivity 已提交
157
#define Mmx         ((u64)1 << 40)  /* MMX Vector instruction */
158 159 160
#define Aligned     ((u64)1 << 41)  /* Explicitly aligned (e.g. MOVDQA) */
#define Unaligned   ((u64)1 << 42)  /* Explicitly unaligned (e.g. MOVDQU) */
#define Avx         ((u64)1 << 43)  /* Advanced Vector Extensions */
161
#define Fastop      ((u64)1 << 44)  /* Use opcode::u.fastop */
162
#define NoWrite     ((u64)1 << 45)  /* No writeback */
163
#define SrcWrite    ((u64)1 << 46)  /* Write back src operand */
A
Avi Kivity 已提交
164

165
#define DstXacc     (DstAccLo | SrcAccHi | SrcWrite)
A
Avi Kivity 已提交
166

167 168 169 170 171 172 173 174
#define X2(x...) x, x
#define X3(x...) X2(x), x
#define X4(x...) X2(x), X2(x)
#define X5(x...) X4(x), x
#define X6(x...) X4(x), X2(x)
#define X7(x...) X4(x), X3(x)
#define X8(x...) X4(x), X4(x)
#define X16(x...) X8(x), X8(x)
175

176 177 178 179 180 181
#define NR_FASTOP (ilog2(sizeof(ulong)) + 1)
#define FASTOP_SIZE 8

/*
 * fastop functions have a special calling convention:
 *
182 183
 * dst:    rax        (in/out)
 * src:    rdx        (in/out)
184 185
 * src2:   rcx        (in)
 * flags:  rflags     (in/out)
186
 * ex:     rsi        (in:fastop pointer, out:zero if exception)
187 188 189 190 191 192 193 194 195 196 197
 *
 * Moreover, they are all exactly FASTOP_SIZE bytes long, so functions for
 * different operand sizes can be reached by calculation, rather than a jump
 * table (which would be bigger than the code).
 *
 * fastop functions are declared as taking a never-defined fastop parameter,
 * so they can't be called from C directly.
 */

struct fastop;

198
struct opcode {
199 200
	u64 flags : 56;
	u64 intercept : 8;
201
	union {
202
		int (*execute)(struct x86_emulate_ctxt *ctxt);
203 204 205
		const struct opcode *group;
		const struct group_dual *gdual;
		const struct gprefix *gprefix;
206
		const struct escape *esc;
207
		void (*fastop)(struct fastop *fake);
208
	} u;
209
	int (*check_perm)(struct x86_emulate_ctxt *ctxt);
210 211 212 213 214
};

struct group_dual {
	struct opcode mod012[8];
	struct opcode mod3[8];
215 216
};

217 218 219 220 221 222 223
struct gprefix {
	struct opcode pfx_no;
	struct opcode pfx_66;
	struct opcode pfx_f2;
	struct opcode pfx_f3;
};

224 225 226 227 228
struct escape {
	struct opcode op[8];
	struct opcode high[64];
};

A
Avi Kivity 已提交
229
/* EFLAGS bit definitions. */
230 231 232 233
#define EFLG_ID (1<<21)
#define EFLG_VIP (1<<20)
#define EFLG_VIF (1<<19)
#define EFLG_AC (1<<18)
234 235
#define EFLG_VM (1<<17)
#define EFLG_RF (1<<16)
236 237
#define EFLG_IOPL (3<<12)
#define EFLG_NT (1<<14)
A
Avi Kivity 已提交
238 239
#define EFLG_OF (1<<11)
#define EFLG_DF (1<<10)
240
#define EFLG_IF (1<<9)
241
#define EFLG_TF (1<<8)
A
Avi Kivity 已提交
242 243 244 245 246 247
#define EFLG_SF (1<<7)
#define EFLG_ZF (1<<6)
#define EFLG_AF (1<<4)
#define EFLG_PF (1<<2)
#define EFLG_CF (1<<0)

248 249 250
#define EFLG_RESERVED_ZEROS_MASK 0xffc0802a
#define EFLG_RESERVED_ONE_MASK 2

251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286
static ulong reg_read(struct x86_emulate_ctxt *ctxt, unsigned nr)
{
	if (!(ctxt->regs_valid & (1 << nr))) {
		ctxt->regs_valid |= 1 << nr;
		ctxt->_regs[nr] = ctxt->ops->read_gpr(ctxt, nr);
	}
	return ctxt->_regs[nr];
}

static ulong *reg_write(struct x86_emulate_ctxt *ctxt, unsigned nr)
{
	ctxt->regs_valid |= 1 << nr;
	ctxt->regs_dirty |= 1 << nr;
	return &ctxt->_regs[nr];
}

static ulong *reg_rmw(struct x86_emulate_ctxt *ctxt, unsigned nr)
{
	reg_read(ctxt, nr);
	return reg_write(ctxt, nr);
}

static void writeback_registers(struct x86_emulate_ctxt *ctxt)
{
	unsigned reg;

	for_each_set_bit(reg, (ulong *)&ctxt->regs_dirty, 16)
		ctxt->ops->write_gpr(ctxt, reg, ctxt->_regs[reg]);
}

static void invalidate_registers(struct x86_emulate_ctxt *ctxt)
{
	ctxt->regs_dirty = 0;
	ctxt->regs_valid = 0;
}

A
Avi Kivity 已提交
287 288 289 290 291 292
/*
 * These EFLAGS bits are restored from saved value during emulation, and
 * any changes are written back to the saved value after emulation.
 */
#define EFLAGS_MASK (EFLG_OF|EFLG_SF|EFLG_ZF|EFLG_AF|EFLG_PF|EFLG_CF)

293 294 295 296 297 298
#ifdef CONFIG_X86_64
#define ON64(x) x
#else
#define ON64(x)
#endif

299 300
static int fastop(struct x86_emulate_ctxt *ctxt, void (*fop)(struct fastop *));

301 302 303 304 305 306 307 308 309 310 311 312 313
#define FOP_ALIGN ".align " __stringify(FASTOP_SIZE) " \n\t"
#define FOP_RET   "ret \n\t"

#define FOP_START(op) \
	extern void em_##op(struct fastop *fake); \
	asm(".pushsection .text, \"ax\" \n\t" \
	    ".global em_" #op " \n\t" \
            FOP_ALIGN \
	    "em_" #op ": \n\t"

#define FOP_END \
	    ".popsection")

314 315
#define FOPNOP() FOP_ALIGN FOP_RET

316
#define FOP1E(op,  dst) \
317 318 319 320
	FOP_ALIGN "10: " #op " %" #dst " \n\t" FOP_RET

#define FOP1EEX(op,  dst) \
	FOP1E(op, dst) _ASM_EXTABLE(10b, kvm_fastop_exception)
321 322 323 324 325 326 327 328 329

#define FASTOP1(op) \
	FOP_START(op) \
	FOP1E(op##b, al) \
	FOP1E(op##w, ax) \
	FOP1E(op##l, eax) \
	ON64(FOP1E(op##q, rax))	\
	FOP_END

330 331 332 333 334 335 336 337 338
/* 1-operand, using src2 (for MUL/DIV r/m) */
#define FASTOP1SRC2(op, name) \
	FOP_START(name) \
	FOP1E(op, cl) \
	FOP1E(op, cx) \
	FOP1E(op, ecx) \
	ON64(FOP1E(op, rcx)) \
	FOP_END

339 340 341 342 343 344 345 346 347
/* 1-operand, using src2 (for MUL/DIV r/m), with exceptions */
#define FASTOP1SRC2EX(op, name) \
	FOP_START(name) \
	FOP1EEX(op, cl) \
	FOP1EEX(op, cx) \
	FOP1EEX(op, ecx) \
	ON64(FOP1EEX(op, rcx)) \
	FOP_END

348 349 350 351 352
#define FOP2E(op,  dst, src)	   \
	FOP_ALIGN #op " %" #src ", %" #dst " \n\t" FOP_RET

#define FASTOP2(op) \
	FOP_START(op) \
353 354 355 356
	FOP2E(op##b, al, dl) \
	FOP2E(op##w, ax, dx) \
	FOP2E(op##l, eax, edx) \
	ON64(FOP2E(op##q, rax, rdx)) \
357 358
	FOP_END

359 360 361 362
/* 2 operand, word only */
#define FASTOP2W(op) \
	FOP_START(op) \
	FOPNOP() \
363 364 365
	FOP2E(op##w, ax, dx) \
	FOP2E(op##l, eax, edx) \
	ON64(FOP2E(op##q, rax, rdx)) \
366 367
	FOP_END

368 369 370 371 372 373 374 375 376
/* 2 operand, src is CL */
#define FASTOP2CL(op) \
	FOP_START(op) \
	FOP2E(op##b, al, cl) \
	FOP2E(op##w, ax, cl) \
	FOP2E(op##l, eax, cl) \
	ON64(FOP2E(op##q, rax, cl)) \
	FOP_END

377 378 379 380 381 382 383
#define FOP3E(op,  dst, src, src2) \
	FOP_ALIGN #op " %" #src2 ", %" #src ", %" #dst " \n\t" FOP_RET

/* 3-operand, word-only, src2=cl */
#define FASTOP3WCL(op) \
	FOP_START(op) \
	FOPNOP() \
384 385 386
	FOP3E(op##w, ax, dx, cl) \
	FOP3E(op##l, eax, edx, cl) \
	ON64(FOP3E(op##q, rax, rdx, cl)) \
387 388
	FOP_END

389 390 391
/* Special case for SETcc - 1 instruction per cc */
#define FOP_SETCC(op) ".align 4; " #op " %al; ret \n\t"

392 393 394
asm(".global kvm_fastop_exception \n"
    "kvm_fastop_exception: xor %esi, %esi; ret");

395 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413
FOP_START(setcc)
FOP_SETCC(seto)
FOP_SETCC(setno)
FOP_SETCC(setc)
FOP_SETCC(setnc)
FOP_SETCC(setz)
FOP_SETCC(setnz)
FOP_SETCC(setbe)
FOP_SETCC(setnbe)
FOP_SETCC(sets)
FOP_SETCC(setns)
FOP_SETCC(setp)
FOP_SETCC(setnp)
FOP_SETCC(setl)
FOP_SETCC(setnl)
FOP_SETCC(setle)
FOP_SETCC(setnle)
FOP_END;

P
Paolo Bonzini 已提交
414 415 416
FOP_START(salc) "pushf; sbb %al, %al; popf \n\t" FOP_RET
FOP_END;

417 418 419 420 421 422
static int emulator_check_intercept(struct x86_emulate_ctxt *ctxt,
				    enum x86_intercept intercept,
				    enum x86_intercept_stage stage)
{
	struct x86_instruction_info info = {
		.intercept  = intercept,
423 424 425 426 427 428 429 430
		.rep_prefix = ctxt->rep_prefix,
		.modrm_mod  = ctxt->modrm_mod,
		.modrm_reg  = ctxt->modrm_reg,
		.modrm_rm   = ctxt->modrm_rm,
		.src_val    = ctxt->src.val64,
		.src_bytes  = ctxt->src.bytes,
		.dst_bytes  = ctxt->dst.bytes,
		.ad_bytes   = ctxt->ad_bytes,
431 432 433
		.next_rip   = ctxt->eip,
	};

434
	return ctxt->ops->intercept(ctxt, &info, stage);
435 436
}

A
Avi Kivity 已提交
437 438 439 440 441
static void assign_masked(ulong *dest, ulong src, ulong mask)
{
	*dest = (*dest & ~mask) | (src & mask);
}

442
static inline unsigned long ad_mask(struct x86_emulate_ctxt *ctxt)
443
{
444
	return (1UL << (ctxt->ad_bytes << 3)) - 1;
445 446
}

A
Avi Kivity 已提交
447 448 449 450 451 452 453 454 455 456 457
static ulong stack_mask(struct x86_emulate_ctxt *ctxt)
{
	u16 sel;
	struct desc_struct ss;

	if (ctxt->mode == X86EMUL_MODE_PROT64)
		return ~0UL;
	ctxt->ops->get_segment(ctxt, &sel, &ss, NULL, VCPU_SREG_SS);
	return ~0U >> ((ss.d ^ 1) * 16);  /* d=0: 0xffff; d=1: 0xffffffff */
}

A
Avi Kivity 已提交
458 459 460 461 462
static int stack_size(struct x86_emulate_ctxt *ctxt)
{
	return (__fls(stack_mask(ctxt)) + 1) >> 3;
}

A
Avi Kivity 已提交
463
/* Access/update address held in a register, based on addressing mode. */
464
static inline unsigned long
465
address_mask(struct x86_emulate_ctxt *ctxt, unsigned long reg)
466
{
467
	if (ctxt->ad_bytes == sizeof(unsigned long))
468 469
		return reg;
	else
470
		return reg & ad_mask(ctxt);
471 472 473
}

static inline unsigned long
474
register_address(struct x86_emulate_ctxt *ctxt, unsigned long reg)
475
{
476
	return address_mask(ctxt, reg);
477 478
}

479 480 481 482 483
static void masked_increment(ulong *reg, ulong mask, int inc)
{
	assign_masked(reg, *reg + inc, mask);
}

484
static inline void
485
register_address_increment(struct x86_emulate_ctxt *ctxt, unsigned long *reg, int inc)
486
{
487 488
	ulong mask;

489
	if (ctxt->ad_bytes == sizeof(unsigned long))
490
		mask = ~0UL;
491
	else
492 493 494 495 496 497
		mask = ad_mask(ctxt);
	masked_increment(reg, mask, inc);
}

static void rsp_increment(struct x86_emulate_ctxt *ctxt, int inc)
{
498
	masked_increment(reg_rmw(ctxt, VCPU_REGS_RSP), stack_mask(ctxt), inc);
499
}
A
Avi Kivity 已提交
500

501
static inline void jmp_rel(struct x86_emulate_ctxt *ctxt, int rel)
502
{
503
	register_address_increment(ctxt, &ctxt->_eip, rel);
504
}
505

506 507 508 509 510 511 512
static u32 desc_limit_scaled(struct desc_struct *desc)
{
	u32 limit = get_desc_limit(desc);

	return desc->g ? (limit << 12) | 0xfff : limit;
}

513
static void set_seg_override(struct x86_emulate_ctxt *ctxt, int seg)
514
{
515 516
	ctxt->has_seg_override = true;
	ctxt->seg_override = seg;
517 518
}

519
static unsigned long seg_base(struct x86_emulate_ctxt *ctxt, int seg)
520 521 522 523
{
	if (ctxt->mode == X86EMUL_MODE_PROT64 && seg < VCPU_SREG_FS)
		return 0;

524
	return ctxt->ops->get_cached_segment_base(ctxt, seg);
525 526
}

527
static unsigned seg_override(struct x86_emulate_ctxt *ctxt)
528
{
529
	if (!ctxt->has_seg_override)
530 531
		return 0;

532
	return ctxt->seg_override;
533 534
}

535 536
static int emulate_exception(struct x86_emulate_ctxt *ctxt, int vec,
			     u32 error, bool valid)
537
{
538 539 540
	ctxt->exception.vector = vec;
	ctxt->exception.error_code = error;
	ctxt->exception.error_code_valid = valid;
541
	return X86EMUL_PROPAGATE_FAULT;
542 543
}

544 545 546 547 548
static int emulate_db(struct x86_emulate_ctxt *ctxt)
{
	return emulate_exception(ctxt, DB_VECTOR, 0, false);
}

549
static int emulate_gp(struct x86_emulate_ctxt *ctxt, int err)
550
{
551
	return emulate_exception(ctxt, GP_VECTOR, err, true);
552 553
}

554 555 556 557 558
static int emulate_ss(struct x86_emulate_ctxt *ctxt, int err)
{
	return emulate_exception(ctxt, SS_VECTOR, err, true);
}

559
static int emulate_ud(struct x86_emulate_ctxt *ctxt)
560
{
561
	return emulate_exception(ctxt, UD_VECTOR, 0, false);
562 563
}

564
static int emulate_ts(struct x86_emulate_ctxt *ctxt, int err)
565
{
566
	return emulate_exception(ctxt, TS_VECTOR, err, true);
567 568
}

569 570
static int emulate_de(struct x86_emulate_ctxt *ctxt)
{
571
	return emulate_exception(ctxt, DE_VECTOR, 0, false);
572 573
}

A
Avi Kivity 已提交
574 575 576 577 578
static int emulate_nm(struct x86_emulate_ctxt *ctxt)
{
	return emulate_exception(ctxt, NM_VECTOR, 0, false);
}

579 580 581 582 583 584 585 586 587 588 589 590 591 592 593 594 595 596 597 598
static u16 get_segment_selector(struct x86_emulate_ctxt *ctxt, unsigned seg)
{
	u16 selector;
	struct desc_struct desc;

	ctxt->ops->get_segment(ctxt, &selector, &desc, NULL, seg);
	return selector;
}

static void set_segment_selector(struct x86_emulate_ctxt *ctxt, u16 selector,
				 unsigned seg)
{
	u16 dummy;
	u32 base3;
	struct desc_struct desc;

	ctxt->ops->get_segment(ctxt, &dummy, &desc, &base3, seg);
	ctxt->ops->set_segment(ctxt, selector, &desc, base3, seg);
}

599 600 601 602 603 604 605 606 607 608 609 610 611 612 613 614 615 616 617 618 619 620 621
/*
 * x86 defines three classes of vector instructions: explicitly
 * aligned, explicitly unaligned, and the rest, which change behaviour
 * depending on whether they're AVX encoded or not.
 *
 * Also included is CMPXCHG16B which is not a vector instruction, yet it is
 * subject to the same check.
 */
static bool insn_aligned(struct x86_emulate_ctxt *ctxt, unsigned size)
{
	if (likely(size < 16))
		return false;

	if (ctxt->d & Aligned)
		return true;
	else if (ctxt->d & Unaligned)
		return false;
	else if (ctxt->d & Avx)
		return false;
	else
		return true;
}

622
static int __linearize(struct x86_emulate_ctxt *ctxt,
623
		     struct segmented_address addr,
624
		     unsigned size, bool write, bool fetch,
625 626
		     ulong *linear)
{
627 628
	struct desc_struct desc;
	bool usable;
629
	ulong la;
630
	u32 lim;
631
	u16 sel;
632
	unsigned cpl;
633

634
	la = seg_base(ctxt, addr.seg) + addr.ea;
635 636 637 638 639 640
	switch (ctxt->mode) {
	case X86EMUL_MODE_PROT64:
		if (((signed long)la << 16) >> 16 != la)
			return emulate_gp(ctxt, 0);
		break;
	default:
641 642
		usable = ctxt->ops->get_segment(ctxt, &sel, &desc, NULL,
						addr.seg);
643 644
		if (!usable)
			goto bad;
645 646 647
		/* code segment in protected mode or read-only data segment */
		if ((((ctxt->mode != X86EMUL_MODE_REAL) && (desc.type & 8))
					|| !(desc.type & 2)) && write)
648 649
			goto bad;
		/* unreadable code segment */
650
		if (!fetch && (desc.type & 8) && !(desc.type & 2))
651 652 653 654 655 656 657
			goto bad;
		lim = desc_limit_scaled(&desc);
		if ((desc.type & 8) || !(desc.type & 4)) {
			/* expand-up segment */
			if (addr.ea > lim || (u32)(addr.ea + size - 1) > lim)
				goto bad;
		} else {
G
Guo Chao 已提交
658
			/* expand-down segment */
659 660 661 662 663 664
			if (addr.ea <= lim || (u32)(addr.ea + size - 1) <= lim)
				goto bad;
			lim = desc.d ? 0xffffffff : 0xffff;
			if (addr.ea > lim || (u32)(addr.ea + size - 1) > lim)
				goto bad;
		}
665
		cpl = ctxt->ops->cpl(ctxt);
666 667 668 669 670 671 672 673 674 675 676 677 678 679 680
		if (!(desc.type & 8)) {
			/* data segment */
			if (cpl > desc.dpl)
				goto bad;
		} else if ((desc.type & 8) && !(desc.type & 4)) {
			/* nonconforming code segment */
			if (cpl != desc.dpl)
				goto bad;
		} else if ((desc.type & 8) && (desc.type & 4)) {
			/* conforming code segment */
			if (cpl < desc.dpl)
				goto bad;
		}
		break;
	}
681
	if (fetch ? ctxt->mode != X86EMUL_MODE_PROT64 : ctxt->ad_bytes != 8)
682
		la &= (u32)-1;
683 684
	if (insn_aligned(ctxt, size) && ((la & (size - 1)) != 0))
		return emulate_gp(ctxt, 0);
685 686
	*linear = la;
	return X86EMUL_CONTINUE;
687 688
bad:
	if (addr.seg == VCPU_SREG_SS)
689
		return emulate_ss(ctxt, sel);
690
	else
691
		return emulate_gp(ctxt, sel);
692 693
}

694 695 696 697 698 699 700 701 702
static int linearize(struct x86_emulate_ctxt *ctxt,
		     struct segmented_address addr,
		     unsigned size, bool write,
		     ulong *linear)
{
	return __linearize(ctxt, addr, size, write, false, linear);
}


703 704 705 706 707
static int segmented_read_std(struct x86_emulate_ctxt *ctxt,
			      struct segmented_address addr,
			      void *data,
			      unsigned size)
{
708 709 710
	int rc;
	ulong linear;

711
	rc = linearize(ctxt, addr, size, false, &linear);
712 713
	if (rc != X86EMUL_CONTINUE)
		return rc;
714
	return ctxt->ops->read_std(ctxt, linear, data, size, &ctxt->exception);
715 716
}

717 718 719 720 721 722 723 724
/*
 * Fetch the next byte of the instruction being emulated which is pointed to
 * by ctxt->_eip, then increment ctxt->_eip.
 *
 * Also prefetch the remaining bytes of the instruction without crossing page
 * boundary if they are not in fetch_cache yet.
 */
static int do_insn_fetch_byte(struct x86_emulate_ctxt *ctxt, u8 *dest)
725
{
726
	struct fetch_cache *fc = &ctxt->fetch;
727
	int rc;
728
	int size, cur_size;
729

730
	if (ctxt->_eip == fc->end) {
731
		unsigned long linear;
732 733
		struct segmented_address addr = { .seg = VCPU_SREG_CS,
						  .ea  = ctxt->_eip };
734
		cur_size = fc->end - fc->start;
735 736
		size = min(15UL - cur_size,
			   PAGE_SIZE - offset_in_page(ctxt->_eip));
737
		rc = __linearize(ctxt, addr, size, false, true, &linear);
738
		if (unlikely(rc != X86EMUL_CONTINUE))
739
			return rc;
740 741
		rc = ctxt->ops->fetch(ctxt, linear, fc->data + cur_size,
				      size, &ctxt->exception);
742
		if (unlikely(rc != X86EMUL_CONTINUE))
743
			return rc;
744
		fc->end += size;
745
	}
746 747
	*dest = fc->data[ctxt->_eip - fc->start];
	ctxt->_eip++;
748
	return X86EMUL_CONTINUE;
749 750 751
}

static int do_insn_fetch(struct x86_emulate_ctxt *ctxt,
752
			 void *dest, unsigned size)
753
{
754
	int rc;
755

756
	/* x86 instructions are limited to 15 bytes. */
757
	if (unlikely(ctxt->_eip + size - ctxt->eip > 15))
758
		return X86EMUL_UNHANDLEABLE;
759
	while (size--) {
760
		rc = do_insn_fetch_byte(ctxt, dest++);
761
		if (rc != X86EMUL_CONTINUE)
762 763
			return rc;
	}
764
	return X86EMUL_CONTINUE;
765 766
}

767
/* Fetch next part of the instruction being emulated. */
768
#define insn_fetch(_type, _ctxt)					\
769
({	unsigned long _x;						\
770
	rc = do_insn_fetch(_ctxt, &_x, sizeof(_type));			\
771 772 773 774 775
	if (rc != X86EMUL_CONTINUE)					\
		goto done;						\
	(_type)_x;							\
})

776 777
#define insn_fetch_arr(_arr, _size, _ctxt)				\
({	rc = do_insn_fetch(_ctxt, _arr, (_size));			\
778 779 780 781
	if (rc != X86EMUL_CONTINUE)					\
		goto done;						\
})

782 783 784 785 786
/*
 * Given the 'reg' portion of a ModRM byte, and a register block, return a
 * pointer into the block that addresses the relevant register.
 * @highbyte_regs specifies whether to decode AH,CH,DH,BH.
 */
787
static void *decode_register(struct x86_emulate_ctxt *ctxt, u8 modrm_reg,
788
			     int byteop)
A
Avi Kivity 已提交
789 790
{
	void *p;
791
	int highbyte_regs = (ctxt->rex_prefix == 0) && byteop;
A
Avi Kivity 已提交
792 793

	if (highbyte_regs && modrm_reg >= 4 && modrm_reg < 8)
794 795 796
		p = (unsigned char *)reg_rmw(ctxt, modrm_reg & 3) + 1;
	else
		p = reg_rmw(ctxt, modrm_reg);
A
Avi Kivity 已提交
797 798 799 800
	return p;
}

static int read_descriptor(struct x86_emulate_ctxt *ctxt,
801
			   struct segmented_address addr,
A
Avi Kivity 已提交
802 803 804 805 806 807 808
			   u16 *size, unsigned long *address, int op_bytes)
{
	int rc;

	if (op_bytes == 2)
		op_bytes = 3;
	*address = 0;
809
	rc = segmented_read_std(ctxt, addr, size, 2);
810
	if (rc != X86EMUL_CONTINUE)
A
Avi Kivity 已提交
811
		return rc;
812
	addr.ea += 2;
813
	rc = segmented_read_std(ctxt, addr, address, op_bytes);
A
Avi Kivity 已提交
814 815 816
	return rc;
}

817 818 819 820 821 822 823 824 825 826
FASTOP2(add);
FASTOP2(or);
FASTOP2(adc);
FASTOP2(sbb);
FASTOP2(and);
FASTOP2(sub);
FASTOP2(xor);
FASTOP2(cmp);
FASTOP2(test);

827 828
FASTOP1SRC2(mul, mul_ex);
FASTOP1SRC2(imul, imul_ex);
829 830
FASTOP1SRC2EX(div, div_ex);
FASTOP1SRC2EX(idiv, idiv_ex);
831

832 833 834 835 836 837 838 839 840 841 842 843 844 845 846 847 848 849 850 851 852 853 854 855 856
FASTOP3WCL(shld);
FASTOP3WCL(shrd);

FASTOP2W(imul);

FASTOP1(not);
FASTOP1(neg);
FASTOP1(inc);
FASTOP1(dec);

FASTOP2CL(rol);
FASTOP2CL(ror);
FASTOP2CL(rcl);
FASTOP2CL(rcr);
FASTOP2CL(shl);
FASTOP2CL(shr);
FASTOP2CL(sar);

FASTOP2W(bsf);
FASTOP2W(bsr);
FASTOP2W(bt);
FASTOP2W(bts);
FASTOP2W(btr);
FASTOP2W(btc);

857 858
FASTOP2(xadd);

859
static u8 test_cc(unsigned int condition, unsigned long flags)
860
{
861 862
	u8 rc;
	void (*fop)(void) = (void *)em_setcc + 4 * (condition & 0xf);
863

864
	flags = (flags & EFLAGS_MASK) | X86_EFLAGS_IF;
865
	asm("push %[flags]; popf; call *%[fastop]"
866 867
	    : "=a"(rc) : [fastop]"r"(fop), [flags]"r"(flags));
	return rc;
868 869
}

870 871 872 873 874 875 876 877 878 879 880 881 882 883 884 885 886 887
static void fetch_register_operand(struct operand *op)
{
	switch (op->bytes) {
	case 1:
		op->val = *(u8 *)op->addr.reg;
		break;
	case 2:
		op->val = *(u16 *)op->addr.reg;
		break;
	case 4:
		op->val = *(u32 *)op->addr.reg;
		break;
	case 8:
		op->val = *(u64 *)op->addr.reg;
		break;
	}
}

A
Avi Kivity 已提交
888 889 890 891
static void read_sse_reg(struct x86_emulate_ctxt *ctxt, sse128_t *data, int reg)
{
	ctxt->ops->get_fpu(ctxt);
	switch (reg) {
892 893 894 895 896 897 898 899
	case 0: asm("movdqa %%xmm0, %0" : "=m"(*data)); break;
	case 1: asm("movdqa %%xmm1, %0" : "=m"(*data)); break;
	case 2: asm("movdqa %%xmm2, %0" : "=m"(*data)); break;
	case 3: asm("movdqa %%xmm3, %0" : "=m"(*data)); break;
	case 4: asm("movdqa %%xmm4, %0" : "=m"(*data)); break;
	case 5: asm("movdqa %%xmm5, %0" : "=m"(*data)); break;
	case 6: asm("movdqa %%xmm6, %0" : "=m"(*data)); break;
	case 7: asm("movdqa %%xmm7, %0" : "=m"(*data)); break;
A
Avi Kivity 已提交
900
#ifdef CONFIG_X86_64
901 902 903 904 905 906 907 908
	case 8: asm("movdqa %%xmm8, %0" : "=m"(*data)); break;
	case 9: asm("movdqa %%xmm9, %0" : "=m"(*data)); break;
	case 10: asm("movdqa %%xmm10, %0" : "=m"(*data)); break;
	case 11: asm("movdqa %%xmm11, %0" : "=m"(*data)); break;
	case 12: asm("movdqa %%xmm12, %0" : "=m"(*data)); break;
	case 13: asm("movdqa %%xmm13, %0" : "=m"(*data)); break;
	case 14: asm("movdqa %%xmm14, %0" : "=m"(*data)); break;
	case 15: asm("movdqa %%xmm15, %0" : "=m"(*data)); break;
A
Avi Kivity 已提交
909 910 911 912 913 914 915 916 917 918 919
#endif
	default: BUG();
	}
	ctxt->ops->put_fpu(ctxt);
}

static void write_sse_reg(struct x86_emulate_ctxt *ctxt, sse128_t *data,
			  int reg)
{
	ctxt->ops->get_fpu(ctxt);
	switch (reg) {
920 921 922 923 924 925 926 927
	case 0: asm("movdqa %0, %%xmm0" : : "m"(*data)); break;
	case 1: asm("movdqa %0, %%xmm1" : : "m"(*data)); break;
	case 2: asm("movdqa %0, %%xmm2" : : "m"(*data)); break;
	case 3: asm("movdqa %0, %%xmm3" : : "m"(*data)); break;
	case 4: asm("movdqa %0, %%xmm4" : : "m"(*data)); break;
	case 5: asm("movdqa %0, %%xmm5" : : "m"(*data)); break;
	case 6: asm("movdqa %0, %%xmm6" : : "m"(*data)); break;
	case 7: asm("movdqa %0, %%xmm7" : : "m"(*data)); break;
A
Avi Kivity 已提交
928
#ifdef CONFIG_X86_64
929 930 931 932 933 934 935 936
	case 8: asm("movdqa %0, %%xmm8" : : "m"(*data)); break;
	case 9: asm("movdqa %0, %%xmm9" : : "m"(*data)); break;
	case 10: asm("movdqa %0, %%xmm10" : : "m"(*data)); break;
	case 11: asm("movdqa %0, %%xmm11" : : "m"(*data)); break;
	case 12: asm("movdqa %0, %%xmm12" : : "m"(*data)); break;
	case 13: asm("movdqa %0, %%xmm13" : : "m"(*data)); break;
	case 14: asm("movdqa %0, %%xmm14" : : "m"(*data)); break;
	case 15: asm("movdqa %0, %%xmm15" : : "m"(*data)); break;
A
Avi Kivity 已提交
937 938 939 940 941 942
#endif
	default: BUG();
	}
	ctxt->ops->put_fpu(ctxt);
}

A
Avi Kivity 已提交
943 944 945 946 947 948 949 950 951 952 953 954 955 956 957 958 959 960 961 962 963 964 965 966 967 968 969 970 971 972 973 974 975 976
static void read_mmx_reg(struct x86_emulate_ctxt *ctxt, u64 *data, int reg)
{
	ctxt->ops->get_fpu(ctxt);
	switch (reg) {
	case 0: asm("movq %%mm0, %0" : "=m"(*data)); break;
	case 1: asm("movq %%mm1, %0" : "=m"(*data)); break;
	case 2: asm("movq %%mm2, %0" : "=m"(*data)); break;
	case 3: asm("movq %%mm3, %0" : "=m"(*data)); break;
	case 4: asm("movq %%mm4, %0" : "=m"(*data)); break;
	case 5: asm("movq %%mm5, %0" : "=m"(*data)); break;
	case 6: asm("movq %%mm6, %0" : "=m"(*data)); break;
	case 7: asm("movq %%mm7, %0" : "=m"(*data)); break;
	default: BUG();
	}
	ctxt->ops->put_fpu(ctxt);
}

static void write_mmx_reg(struct x86_emulate_ctxt *ctxt, u64 *data, int reg)
{
	ctxt->ops->get_fpu(ctxt);
	switch (reg) {
	case 0: asm("movq %0, %%mm0" : : "m"(*data)); break;
	case 1: asm("movq %0, %%mm1" : : "m"(*data)); break;
	case 2: asm("movq %0, %%mm2" : : "m"(*data)); break;
	case 3: asm("movq %0, %%mm3" : : "m"(*data)); break;
	case 4: asm("movq %0, %%mm4" : : "m"(*data)); break;
	case 5: asm("movq %0, %%mm5" : : "m"(*data)); break;
	case 6: asm("movq %0, %%mm6" : : "m"(*data)); break;
	case 7: asm("movq %0, %%mm7" : : "m"(*data)); break;
	default: BUG();
	}
	ctxt->ops->put_fpu(ctxt);
}

977 978 979 980 981 982 983 984 985 986 987 988 989 990 991 992 993 994 995 996 997 998 999 1000 1001 1002 1003 1004 1005 1006 1007 1008 1009 1010 1011 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022 1023
static int em_fninit(struct x86_emulate_ctxt *ctxt)
{
	if (ctxt->ops->get_cr(ctxt, 0) & (X86_CR0_TS | X86_CR0_EM))
		return emulate_nm(ctxt);

	ctxt->ops->get_fpu(ctxt);
	asm volatile("fninit");
	ctxt->ops->put_fpu(ctxt);
	return X86EMUL_CONTINUE;
}

static int em_fnstcw(struct x86_emulate_ctxt *ctxt)
{
	u16 fcw;

	if (ctxt->ops->get_cr(ctxt, 0) & (X86_CR0_TS | X86_CR0_EM))
		return emulate_nm(ctxt);

	ctxt->ops->get_fpu(ctxt);
	asm volatile("fnstcw %0": "+m"(fcw));
	ctxt->ops->put_fpu(ctxt);

	/* force 2 byte destination */
	ctxt->dst.bytes = 2;
	ctxt->dst.val = fcw;

	return X86EMUL_CONTINUE;
}

static int em_fnstsw(struct x86_emulate_ctxt *ctxt)
{
	u16 fsw;

	if (ctxt->ops->get_cr(ctxt, 0) & (X86_CR0_TS | X86_CR0_EM))
		return emulate_nm(ctxt);

	ctxt->ops->get_fpu(ctxt);
	asm volatile("fnstsw %0": "+m"(fsw));
	ctxt->ops->put_fpu(ctxt);

	/* force 2 byte destination */
	ctxt->dst.bytes = 2;
	ctxt->dst.val = fsw;

	return X86EMUL_CONTINUE;
}

A
Avi Kivity 已提交
1024
static void decode_register_operand(struct x86_emulate_ctxt *ctxt,
1025
				    struct operand *op)
1026
{
1027
	unsigned reg = ctxt->modrm_reg;
1028

1029 1030
	if (!(ctxt->d & ModRM))
		reg = (ctxt->b & 7) | ((ctxt->rex_prefix & 1) << 3);
A
Avi Kivity 已提交
1031

1032
	if (ctxt->d & Sse) {
A
Avi Kivity 已提交
1033 1034 1035 1036 1037 1038
		op->type = OP_XMM;
		op->bytes = 16;
		op->addr.xmm = reg;
		read_sse_reg(ctxt, &op->vec_val, reg);
		return;
	}
A
Avi Kivity 已提交
1039 1040 1041 1042 1043 1044 1045
	if (ctxt->d & Mmx) {
		reg &= 7;
		op->type = OP_MM;
		op->bytes = 8;
		op->addr.mm = reg;
		return;
	}
A
Avi Kivity 已提交
1046

1047
	op->type = OP_REG;
1048
	if (ctxt->d & ByteOp) {
1049
		op->addr.reg = decode_register(ctxt, reg, true);
1050 1051
		op->bytes = 1;
	} else {
1052
		op->addr.reg = decode_register(ctxt, reg, false);
1053
		op->bytes = ctxt->op_bytes;
1054
	}
1055
	fetch_register_operand(op);
1056 1057 1058
	op->orig_val = op->val;
}

1059 1060 1061 1062 1063 1064
static void adjust_modrm_seg(struct x86_emulate_ctxt *ctxt, int base_reg)
{
	if (base_reg == VCPU_REGS_RSP || base_reg == VCPU_REGS_RBP)
		ctxt->modrm_seg = VCPU_SREG_SS;
}

1065
static int decode_modrm(struct x86_emulate_ctxt *ctxt,
1066
			struct operand *op)
1067 1068
{
	u8 sib;
1069
	int index_reg = 0, base_reg = 0, scale;
1070
	int rc = X86EMUL_CONTINUE;
1071
	ulong modrm_ea = 0;
1072

1073 1074 1075 1076
	if (ctxt->rex_prefix) {
		ctxt->modrm_reg = (ctxt->rex_prefix & 4) << 1;	/* REX.R */
		index_reg = (ctxt->rex_prefix & 2) << 2; /* REX.X */
		ctxt->modrm_rm = base_reg = (ctxt->rex_prefix & 1) << 3; /* REG.B */
1077 1078
	}

1079 1080 1081 1082
	ctxt->modrm_mod |= (ctxt->modrm & 0xc0) >> 6;
	ctxt->modrm_reg |= (ctxt->modrm & 0x38) >> 3;
	ctxt->modrm_rm |= (ctxt->modrm & 0x07);
	ctxt->modrm_seg = VCPU_SREG_DS;
1083

1084
	if (ctxt->modrm_mod == 3) {
1085
		op->type = OP_REG;
1086
		op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
1087
		op->addr.reg = decode_register(ctxt, ctxt->modrm_rm,
1088
				ctxt->d & ByteOp);
1089
		if (ctxt->d & Sse) {
A
Avi Kivity 已提交
1090 1091
			op->type = OP_XMM;
			op->bytes = 16;
1092 1093
			op->addr.xmm = ctxt->modrm_rm;
			read_sse_reg(ctxt, &op->vec_val, ctxt->modrm_rm);
A
Avi Kivity 已提交
1094 1095
			return rc;
		}
A
Avi Kivity 已提交
1096 1097 1098 1099 1100 1101
		if (ctxt->d & Mmx) {
			op->type = OP_MM;
			op->bytes = 8;
			op->addr.xmm = ctxt->modrm_rm & 7;
			return rc;
		}
1102
		fetch_register_operand(op);
1103 1104 1105
		return rc;
	}

1106 1107
	op->type = OP_MEM;

1108
	if (ctxt->ad_bytes == 2) {
1109 1110 1111 1112
		unsigned bx = reg_read(ctxt, VCPU_REGS_RBX);
		unsigned bp = reg_read(ctxt, VCPU_REGS_RBP);
		unsigned si = reg_read(ctxt, VCPU_REGS_RSI);
		unsigned di = reg_read(ctxt, VCPU_REGS_RDI);
1113 1114

		/* 16-bit ModR/M decode. */
1115
		switch (ctxt->modrm_mod) {
1116
		case 0:
1117
			if (ctxt->modrm_rm == 6)
1118
				modrm_ea += insn_fetch(u16, ctxt);
1119 1120
			break;
		case 1:
1121
			modrm_ea += insn_fetch(s8, ctxt);
1122 1123
			break;
		case 2:
1124
			modrm_ea += insn_fetch(u16, ctxt);
1125 1126
			break;
		}
1127
		switch (ctxt->modrm_rm) {
1128
		case 0:
1129
			modrm_ea += bx + si;
1130 1131
			break;
		case 1:
1132
			modrm_ea += bx + di;
1133 1134
			break;
		case 2:
1135
			modrm_ea += bp + si;
1136 1137
			break;
		case 3:
1138
			modrm_ea += bp + di;
1139 1140
			break;
		case 4:
1141
			modrm_ea += si;
1142 1143
			break;
		case 5:
1144
			modrm_ea += di;
1145 1146
			break;
		case 6:
1147
			if (ctxt->modrm_mod != 0)
1148
				modrm_ea += bp;
1149 1150
			break;
		case 7:
1151
			modrm_ea += bx;
1152 1153
			break;
		}
1154 1155 1156
		if (ctxt->modrm_rm == 2 || ctxt->modrm_rm == 3 ||
		    (ctxt->modrm_rm == 6 && ctxt->modrm_mod != 0))
			ctxt->modrm_seg = VCPU_SREG_SS;
1157
		modrm_ea = (u16)modrm_ea;
1158 1159
	} else {
		/* 32/64-bit ModR/M decode. */
1160
		if ((ctxt->modrm_rm & 7) == 4) {
1161
			sib = insn_fetch(u8, ctxt);
1162 1163 1164 1165
			index_reg |= (sib >> 3) & 7;
			base_reg |= sib & 7;
			scale = sib >> 6;

1166
			if ((base_reg & 7) == 5 && ctxt->modrm_mod == 0)
1167
				modrm_ea += insn_fetch(s32, ctxt);
1168
			else {
1169
				modrm_ea += reg_read(ctxt, base_reg);
1170 1171
				adjust_modrm_seg(ctxt, base_reg);
			}
1172
			if (index_reg != 4)
1173
				modrm_ea += reg_read(ctxt, index_reg) << scale;
1174
		} else if ((ctxt->modrm_rm & 7) == 5 && ctxt->modrm_mod == 0) {
1175
			if (ctxt->mode == X86EMUL_MODE_PROT64)
1176
				ctxt->rip_relative = 1;
1177 1178
		} else {
			base_reg = ctxt->modrm_rm;
1179
			modrm_ea += reg_read(ctxt, base_reg);
1180 1181
			adjust_modrm_seg(ctxt, base_reg);
		}
1182
		switch (ctxt->modrm_mod) {
1183
		case 0:
1184
			if (ctxt->modrm_rm == 5)
1185
				modrm_ea += insn_fetch(s32, ctxt);
1186 1187
			break;
		case 1:
1188
			modrm_ea += insn_fetch(s8, ctxt);
1189 1190
			break;
		case 2:
1191
			modrm_ea += insn_fetch(s32, ctxt);
1192 1193 1194
			break;
		}
	}
1195
	op->addr.mem.ea = modrm_ea;
1196 1197 1198 1199 1200
done:
	return rc;
}

static int decode_abs(struct x86_emulate_ctxt *ctxt,
1201
		      struct operand *op)
1202
{
1203
	int rc = X86EMUL_CONTINUE;
1204

1205
	op->type = OP_MEM;
1206
	switch (ctxt->ad_bytes) {
1207
	case 2:
1208
		op->addr.mem.ea = insn_fetch(u16, ctxt);
1209 1210
		break;
	case 4:
1211
		op->addr.mem.ea = insn_fetch(u32, ctxt);
1212 1213
		break;
	case 8:
1214
		op->addr.mem.ea = insn_fetch(u64, ctxt);
1215 1216 1217 1218 1219 1220
		break;
	}
done:
	return rc;
}

1221
static void fetch_bit_operand(struct x86_emulate_ctxt *ctxt)
1222
{
1223
	long sv = 0, mask;
1224

1225 1226
	if (ctxt->dst.type == OP_MEM && ctxt->src.type == OP_REG) {
		mask = ~(ctxt->dst.bytes * 8 - 1);
1227

1228 1229 1230 1231
		if (ctxt->src.bytes == 2)
			sv = (s16)ctxt->src.val & (s16)mask;
		else if (ctxt->src.bytes == 4)
			sv = (s32)ctxt->src.val & (s32)mask;
1232

1233
		ctxt->dst.addr.mem.ea += (sv >> 3);
1234
	}
1235 1236

	/* only subword offset */
1237
	ctxt->src.val &= (ctxt->dst.bytes << 3) - 1;
1238 1239
}

1240 1241
static int read_emulated(struct x86_emulate_ctxt *ctxt,
			 unsigned long addr, void *dest, unsigned size)
A
Avi Kivity 已提交
1242
{
1243
	int rc;
1244
	struct read_cache *mc = &ctxt->mem_read;
A
Avi Kivity 已提交
1245

1246 1247
	if (mc->pos < mc->end)
		goto read_cached;
A
Avi Kivity 已提交
1248

1249 1250 1251 1252 1253 1254 1255 1256 1257 1258 1259 1260
	WARN_ON((mc->end + size) >= sizeof(mc->data));

	rc = ctxt->ops->read_emulated(ctxt, addr, mc->data + mc->end, size,
				      &ctxt->exception);
	if (rc != X86EMUL_CONTINUE)
		return rc;

	mc->end += size;

read_cached:
	memcpy(dest, mc->data + mc->pos, size);
	mc->pos += size;
1261 1262
	return X86EMUL_CONTINUE;
}
A
Avi Kivity 已提交
1263

1264 1265 1266 1267 1268
static int segmented_read(struct x86_emulate_ctxt *ctxt,
			  struct segmented_address addr,
			  void *data,
			  unsigned size)
{
1269 1270 1271
	int rc;
	ulong linear;

1272
	rc = linearize(ctxt, addr, size, false, &linear);
1273 1274
	if (rc != X86EMUL_CONTINUE)
		return rc;
1275
	return read_emulated(ctxt, linear, data, size);
1276 1277 1278 1279 1280 1281 1282
}

static int segmented_write(struct x86_emulate_ctxt *ctxt,
			   struct segmented_address addr,
			   const void *data,
			   unsigned size)
{
1283 1284 1285
	int rc;
	ulong linear;

1286
	rc = linearize(ctxt, addr, size, true, &linear);
1287 1288
	if (rc != X86EMUL_CONTINUE)
		return rc;
1289 1290
	return ctxt->ops->write_emulated(ctxt, linear, data, size,
					 &ctxt->exception);
1291 1292 1293 1294 1295 1296 1297
}

static int segmented_cmpxchg(struct x86_emulate_ctxt *ctxt,
			     struct segmented_address addr,
			     const void *orig_data, const void *data,
			     unsigned size)
{
1298 1299 1300
	int rc;
	ulong linear;

1301
	rc = linearize(ctxt, addr, size, true, &linear);
1302 1303
	if (rc != X86EMUL_CONTINUE)
		return rc;
1304 1305
	return ctxt->ops->cmpxchg_emulated(ctxt, linear, orig_data, data,
					   size, &ctxt->exception);
1306 1307
}

1308 1309 1310 1311
static int pio_in_emulated(struct x86_emulate_ctxt *ctxt,
			   unsigned int size, unsigned short port,
			   void *dest)
{
1312
	struct read_cache *rc = &ctxt->io_read;
1313

1314 1315
	if (rc->pos == rc->end) { /* refill pio read ahead */
		unsigned int in_page, n;
1316
		unsigned int count = ctxt->rep_prefix ?
1317
			address_mask(ctxt, reg_read(ctxt, VCPU_REGS_RCX)) : 1;
1318
		in_page = (ctxt->eflags & EFLG_DF) ?
1319 1320
			offset_in_page(reg_read(ctxt, VCPU_REGS_RDI)) :
			PAGE_SIZE - offset_in_page(reg_read(ctxt, VCPU_REGS_RDI));
1321 1322 1323 1324 1325
		n = min(min(in_page, (unsigned int)sizeof(rc->data)) / size,
			count);
		if (n == 0)
			n = 1;
		rc->pos = rc->end = 0;
1326
		if (!ctxt->ops->pio_in_emulated(ctxt, size, port, rc->data, n))
1327 1328
			return 0;
		rc->end = n * size;
A
Avi Kivity 已提交
1329 1330
	}

1331 1332 1333 1334 1335 1336 1337 1338 1339
	if (ctxt->rep_prefix && !(ctxt->eflags & EFLG_DF)) {
		ctxt->dst.data = rc->data + rc->pos;
		ctxt->dst.type = OP_MEM_STR;
		ctxt->dst.count = (rc->end - rc->pos) / size;
		rc->pos = rc->end;
	} else {
		memcpy(dest, rc->data + rc->pos, size);
		rc->pos += size;
	}
1340 1341
	return 1;
}
A
Avi Kivity 已提交
1342

1343 1344 1345 1346 1347 1348 1349 1350 1351 1352 1353 1354 1355 1356 1357 1358
static int read_interrupt_descriptor(struct x86_emulate_ctxt *ctxt,
				     u16 index, struct desc_struct *desc)
{
	struct desc_ptr dt;
	ulong addr;

	ctxt->ops->get_idt(ctxt, &dt);

	if (dt.size < index * 8 + 7)
		return emulate_gp(ctxt, index << 3 | 0x2);

	addr = dt.address + index * 8;
	return ctxt->ops->read_std(ctxt, addr, desc, sizeof *desc,
				   &ctxt->exception);
}

1359 1360 1361
static void get_descriptor_table_ptr(struct x86_emulate_ctxt *ctxt,
				     u16 selector, struct desc_ptr *dt)
{
1362
	const struct x86_emulate_ops *ops = ctxt->ops;
1363

1364 1365
	if (selector & 1 << 2) {
		struct desc_struct desc;
1366 1367
		u16 sel;

1368
		memset (dt, 0, sizeof *dt);
1369
		if (!ops->get_segment(ctxt, &sel, &desc, NULL, VCPU_SREG_LDTR))
1370
			return;
1371

1372 1373 1374
		dt->size = desc_limit_scaled(&desc); /* what if limit > 65535? */
		dt->address = get_desc_base(&desc);
	} else
1375
		ops->get_gdt(ctxt, dt);
1376
}
1377

1378 1379
/* allowed just for 8 bytes segments */
static int read_segment_descriptor(struct x86_emulate_ctxt *ctxt,
1380 1381
				   u16 selector, struct desc_struct *desc,
				   ulong *desc_addr_p)
1382 1383 1384 1385
{
	struct desc_ptr dt;
	u16 index = selector >> 3;
	ulong addr;
1386

1387
	get_descriptor_table_ptr(ctxt, selector, &dt);
1388

1389 1390
	if (dt.size < index * 8 + 7)
		return emulate_gp(ctxt, selector & 0xfffc);
1391

1392
	*desc_addr_p = addr = dt.address + index * 8;
1393 1394
	return ctxt->ops->read_std(ctxt, addr, desc, sizeof *desc,
				   &ctxt->exception);
1395
}
1396

1397 1398 1399 1400 1401 1402 1403
/* allowed just for 8 bytes segments */
static int write_segment_descriptor(struct x86_emulate_ctxt *ctxt,
				    u16 selector, struct desc_struct *desc)
{
	struct desc_ptr dt;
	u16 index = selector >> 3;
	ulong addr;
A
Avi Kivity 已提交
1404

1405
	get_descriptor_table_ptr(ctxt, selector, &dt);
1406

1407 1408
	if (dt.size < index * 8 + 7)
		return emulate_gp(ctxt, selector & 0xfffc);
A
Avi Kivity 已提交
1409

1410
	addr = dt.address + index * 8;
1411 1412
	return ctxt->ops->write_std(ctxt, addr, desc, sizeof *desc,
				    &ctxt->exception);
1413
}
1414

1415
/* Does not support long mode */
1416 1417 1418
static int load_segment_descriptor(struct x86_emulate_ctxt *ctxt,
				   u16 selector, int seg)
{
1419
	struct desc_struct seg_desc, old_desc;
1420 1421 1422 1423
	u8 dpl, rpl, cpl;
	unsigned err_vec = GP_VECTOR;
	u32 err_code = 0;
	bool null_selector = !(selector & ~0x3); /* 0000-0003 are null */
1424
	ulong desc_addr;
1425
	int ret;
1426
	u16 dummy;
1427

1428
	memset(&seg_desc, 0, sizeof seg_desc);
1429

1430 1431 1432
	if (ctxt->mode == X86EMUL_MODE_REAL) {
		/* set real mode segment descriptor (keep limit etc. for
		 * unreal mode) */
1433
		ctxt->ops->get_segment(ctxt, &dummy, &seg_desc, NULL, seg);
1434 1435
		set_desc_base(&seg_desc, selector << 4);
		goto load;
1436 1437 1438 1439 1440 1441 1442 1443 1444
	} else if (seg <= VCPU_SREG_GS && ctxt->mode == X86EMUL_MODE_VM86) {
		/* VM86 needs a clean new segment descriptor */
		set_desc_base(&seg_desc, selector << 4);
		set_desc_limit(&seg_desc, 0xffff);
		seg_desc.type = 3;
		seg_desc.p = 1;
		seg_desc.s = 1;
		seg_desc.dpl = 3;
		goto load;
1445 1446
	}

1447 1448 1449 1450 1451 1452 1453 1454
	rpl = selector & 3;
	cpl = ctxt->ops->cpl(ctxt);

	/* NULL selector is not valid for TR, CS and SS (except for long mode) */
	if ((seg == VCPU_SREG_CS
	     || (seg == VCPU_SREG_SS
		 && (ctxt->mode != X86EMUL_MODE_PROT64 || rpl != cpl))
	     || seg == VCPU_SREG_TR)
1455 1456 1457 1458 1459 1460 1461 1462 1463 1464
	    && null_selector)
		goto exception;

	/* TR should be in GDT only */
	if (seg == VCPU_SREG_TR && (selector & (1 << 2)))
		goto exception;

	if (null_selector) /* for NULL selector skip all following checks */
		goto load;

1465
	ret = read_segment_descriptor(ctxt, selector, &seg_desc, &desc_addr);
1466 1467 1468 1469 1470 1471
	if (ret != X86EMUL_CONTINUE)
		return ret;

	err_code = selector & 0xfffc;
	err_vec = GP_VECTOR;

G
Guo Chao 已提交
1472
	/* can't load system descriptor into segment selector */
1473 1474 1475 1476 1477 1478 1479 1480 1481 1482 1483 1484 1485 1486 1487 1488 1489 1490
	if (seg <= VCPU_SREG_GS && !seg_desc.s)
		goto exception;

	if (!seg_desc.p) {
		err_vec = (seg == VCPU_SREG_SS) ? SS_VECTOR : NP_VECTOR;
		goto exception;
	}

	dpl = seg_desc.dpl;

	switch (seg) {
	case VCPU_SREG_SS:
		/*
		 * segment is not a writable data segment or segment
		 * selector's RPL != CPL or segment selector's RPL != CPL
		 */
		if (rpl != cpl || (seg_desc.type & 0xa) != 0x2 || dpl != cpl)
			goto exception;
A
Avi Kivity 已提交
1491
		break;
1492 1493 1494 1495 1496 1497 1498 1499 1500 1501 1502 1503 1504 1505 1506
	case VCPU_SREG_CS:
		if (!(seg_desc.type & 8))
			goto exception;

		if (seg_desc.type & 4) {
			/* conforming */
			if (dpl > cpl)
				goto exception;
		} else {
			/* nonconforming */
			if (rpl > cpl || dpl != cpl)
				goto exception;
		}
		/* CS(RPL) <- CPL */
		selector = (selector & 0xfffc) | cpl;
A
Avi Kivity 已提交
1507
		break;
1508 1509 1510
	case VCPU_SREG_TR:
		if (seg_desc.s || (seg_desc.type != 1 && seg_desc.type != 9))
			goto exception;
1511 1512 1513 1514 1515 1516
		old_desc = seg_desc;
		seg_desc.type |= 2; /* busy */
		ret = ctxt->ops->cmpxchg_emulated(ctxt, desc_addr, &old_desc, &seg_desc,
						  sizeof(seg_desc), &ctxt->exception);
		if (ret != X86EMUL_CONTINUE)
			return ret;
1517 1518 1519 1520 1521 1522
		break;
	case VCPU_SREG_LDTR:
		if (seg_desc.s || seg_desc.type != 2)
			goto exception;
		break;
	default: /*  DS, ES, FS, or GS */
1523
		/*
1524 1525 1526
		 * segment is not a data or readable code segment or
		 * ((segment is a data or nonconforming code segment)
		 * and (both RPL and CPL > DPL))
1527
		 */
1528 1529 1530 1531
		if ((seg_desc.type & 0xa) == 0x8 ||
		    (((seg_desc.type & 0xc) != 0xc) &&
		     (rpl > dpl && cpl > dpl)))
			goto exception;
A
Avi Kivity 已提交
1532
		break;
1533 1534 1535 1536 1537
	}

	if (seg_desc.s) {
		/* mark segment as accessed */
		seg_desc.type |= 1;
1538
		ret = write_segment_descriptor(ctxt, selector, &seg_desc);
1539 1540 1541 1542
		if (ret != X86EMUL_CONTINUE)
			return ret;
	}
load:
1543
	ctxt->ops->set_segment(ctxt, selector, &seg_desc, 0, seg);
1544 1545 1546 1547 1548 1549
	return X86EMUL_CONTINUE;
exception:
	emulate_exception(ctxt, err_vec, err_code, true);
	return X86EMUL_PROPAGATE_FAULT;
}

1550 1551 1552 1553 1554 1555 1556 1557 1558 1559 1560 1561 1562 1563 1564 1565 1566 1567 1568
static void write_register_operand(struct operand *op)
{
	/* The 4-byte case *is* correct: in 64-bit mode we zero-extend. */
	switch (op->bytes) {
	case 1:
		*(u8 *)op->addr.reg = (u8)op->val;
		break;
	case 2:
		*(u16 *)op->addr.reg = (u16)op->val;
		break;
	case 4:
		*op->addr.reg = (u32)op->val;
		break;	/* 64b: zero-extend */
	case 8:
		*op->addr.reg = op->val;
		break;
	}
}

1569
static int writeback(struct x86_emulate_ctxt *ctxt, struct operand *op)
1570 1571 1572
{
	int rc;

1573
	switch (op->type) {
1574
	case OP_REG:
1575
		write_register_operand(op);
A
Avi Kivity 已提交
1576
		break;
1577
	case OP_MEM:
1578
		if (ctxt->lock_prefix)
1579
			rc = segmented_cmpxchg(ctxt,
1580 1581 1582 1583
					       op->addr.mem,
					       &op->orig_val,
					       &op->val,
					       op->bytes);
1584
		else
1585
			rc = segmented_write(ctxt,
1586 1587 1588
					     op->addr.mem,
					     &op->val,
					     op->bytes);
1589 1590
		if (rc != X86EMUL_CONTINUE)
			return rc;
1591
		break;
1592 1593
	case OP_MEM_STR:
		rc = segmented_write(ctxt,
1594 1595 1596
				op->addr.mem,
				op->data,
				op->bytes * op->count);
1597 1598 1599
		if (rc != X86EMUL_CONTINUE)
			return rc;
		break;
A
Avi Kivity 已提交
1600
	case OP_XMM:
1601
		write_sse_reg(ctxt, &op->vec_val, op->addr.xmm);
A
Avi Kivity 已提交
1602
		break;
A
Avi Kivity 已提交
1603
	case OP_MM:
1604
		write_mmx_reg(ctxt, &op->mm_val, op->addr.mm);
A
Avi Kivity 已提交
1605
		break;
1606 1607
	case OP_NONE:
		/* no writeback */
1608
		break;
1609
	default:
1610
		break;
A
Avi Kivity 已提交
1611
	}
1612 1613
	return X86EMUL_CONTINUE;
}
A
Avi Kivity 已提交
1614

1615
static int push(struct x86_emulate_ctxt *ctxt, void *data, int bytes)
1616
{
1617
	struct segmented_address addr;
1618

1619
	rsp_increment(ctxt, -bytes);
1620
	addr.ea = reg_read(ctxt, VCPU_REGS_RSP) & stack_mask(ctxt);
1621 1622
	addr.seg = VCPU_SREG_SS;

1623 1624 1625 1626 1627
	return segmented_write(ctxt, addr, data, bytes);
}

static int em_push(struct x86_emulate_ctxt *ctxt)
{
1628
	/* Disable writeback. */
1629
	ctxt->dst.type = OP_NONE;
1630
	return push(ctxt, &ctxt->src.val, ctxt->op_bytes);
1631
}
1632

1633 1634 1635 1636
static int emulate_pop(struct x86_emulate_ctxt *ctxt,
		       void *dest, int len)
{
	int rc;
1637
	struct segmented_address addr;
1638

1639
	addr.ea = reg_read(ctxt, VCPU_REGS_RSP) & stack_mask(ctxt);
1640
	addr.seg = VCPU_SREG_SS;
1641
	rc = segmented_read(ctxt, addr, dest, len);
1642 1643 1644
	if (rc != X86EMUL_CONTINUE)
		return rc;

1645
	rsp_increment(ctxt, len);
1646
	return rc;
1647 1648
}

1649 1650
static int em_pop(struct x86_emulate_ctxt *ctxt)
{
1651
	return emulate_pop(ctxt, &ctxt->dst.val, ctxt->op_bytes);
1652 1653
}

1654
static int emulate_popf(struct x86_emulate_ctxt *ctxt,
1655
			void *dest, int len)
1656 1657
{
	int rc;
1658 1659
	unsigned long val, change_mask;
	int iopl = (ctxt->eflags & X86_EFLAGS_IOPL) >> IOPL_SHIFT;
1660
	int cpl = ctxt->ops->cpl(ctxt);
1661

1662
	rc = emulate_pop(ctxt, &val, len);
1663 1664
	if (rc != X86EMUL_CONTINUE)
		return rc;
1665

1666 1667
	change_mask = EFLG_CF | EFLG_PF | EFLG_AF | EFLG_ZF | EFLG_SF | EFLG_OF
		| EFLG_TF | EFLG_DF | EFLG_NT | EFLG_RF | EFLG_AC | EFLG_ID;
1668

1669 1670 1671 1672 1673 1674 1675 1676 1677 1678
	switch(ctxt->mode) {
	case X86EMUL_MODE_PROT64:
	case X86EMUL_MODE_PROT32:
	case X86EMUL_MODE_PROT16:
		if (cpl == 0)
			change_mask |= EFLG_IOPL;
		if (cpl <= iopl)
			change_mask |= EFLG_IF;
		break;
	case X86EMUL_MODE_VM86:
1679 1680
		if (iopl < 3)
			return emulate_gp(ctxt, 0);
1681 1682 1683 1684 1685
		change_mask |= EFLG_IF;
		break;
	default: /* real mode */
		change_mask |= (EFLG_IOPL | EFLG_IF);
		break;
1686
	}
1687 1688 1689 1690 1691

	*(unsigned long *)dest =
		(ctxt->eflags & ~change_mask) | (val & change_mask);

	return rc;
1692 1693
}

1694 1695
static int em_popf(struct x86_emulate_ctxt *ctxt)
{
1696 1697 1698 1699
	ctxt->dst.type = OP_REG;
	ctxt->dst.addr.reg = &ctxt->eflags;
	ctxt->dst.bytes = ctxt->op_bytes;
	return emulate_popf(ctxt, &ctxt->dst.val, ctxt->op_bytes);
1700 1701
}

A
Avi Kivity 已提交
1702 1703 1704 1705 1706
static int em_enter(struct x86_emulate_ctxt *ctxt)
{
	int rc;
	unsigned frame_size = ctxt->src.val;
	unsigned nesting_level = ctxt->src2.val & 31;
1707
	ulong rbp;
A
Avi Kivity 已提交
1708 1709 1710 1711

	if (nesting_level)
		return X86EMUL_UNHANDLEABLE;

1712 1713
	rbp = reg_read(ctxt, VCPU_REGS_RBP);
	rc = push(ctxt, &rbp, stack_size(ctxt));
A
Avi Kivity 已提交
1714 1715
	if (rc != X86EMUL_CONTINUE)
		return rc;
1716
	assign_masked(reg_rmw(ctxt, VCPU_REGS_RBP), reg_read(ctxt, VCPU_REGS_RSP),
A
Avi Kivity 已提交
1717
		      stack_mask(ctxt));
1718 1719
	assign_masked(reg_rmw(ctxt, VCPU_REGS_RSP),
		      reg_read(ctxt, VCPU_REGS_RSP) - frame_size,
A
Avi Kivity 已提交
1720 1721 1722 1723
		      stack_mask(ctxt));
	return X86EMUL_CONTINUE;
}

A
Avi Kivity 已提交
1724 1725
static int em_leave(struct x86_emulate_ctxt *ctxt)
{
1726
	assign_masked(reg_rmw(ctxt, VCPU_REGS_RSP), reg_read(ctxt, VCPU_REGS_RBP),
A
Avi Kivity 已提交
1727
		      stack_mask(ctxt));
1728
	return emulate_pop(ctxt, reg_rmw(ctxt, VCPU_REGS_RBP), ctxt->op_bytes);
A
Avi Kivity 已提交
1729 1730
}

1731
static int em_push_sreg(struct x86_emulate_ctxt *ctxt)
1732
{
1733 1734
	int seg = ctxt->src2.val;

1735
	ctxt->src.val = get_segment_selector(ctxt, seg);
1736

1737
	return em_push(ctxt);
1738 1739
}

1740
static int em_pop_sreg(struct x86_emulate_ctxt *ctxt)
1741
{
1742
	int seg = ctxt->src2.val;
1743 1744
	unsigned long selector;
	int rc;
1745

1746
	rc = emulate_pop(ctxt, &selector, ctxt->op_bytes);
1747 1748 1749
	if (rc != X86EMUL_CONTINUE)
		return rc;

1750
	rc = load_segment_descriptor(ctxt, (u16)selector, seg);
1751
	return rc;
1752 1753
}

1754
static int em_pusha(struct x86_emulate_ctxt *ctxt)
1755
{
1756
	unsigned long old_esp = reg_read(ctxt, VCPU_REGS_RSP);
1757 1758
	int rc = X86EMUL_CONTINUE;
	int reg = VCPU_REGS_RAX;
1759

1760 1761
	while (reg <= VCPU_REGS_RDI) {
		(reg == VCPU_REGS_RSP) ?
1762
		(ctxt->src.val = old_esp) : (ctxt->src.val = reg_read(ctxt, reg));
1763

1764
		rc = em_push(ctxt);
1765 1766
		if (rc != X86EMUL_CONTINUE)
			return rc;
1767

1768
		++reg;
1769 1770
	}

1771
	return rc;
1772 1773
}

1774 1775
static int em_pushf(struct x86_emulate_ctxt *ctxt)
{
1776
	ctxt->src.val =  (unsigned long)ctxt->eflags;
1777 1778 1779
	return em_push(ctxt);
}

1780
static int em_popa(struct x86_emulate_ctxt *ctxt)
1781
{
1782 1783
	int rc = X86EMUL_CONTINUE;
	int reg = VCPU_REGS_RDI;
1784

1785 1786
	while (reg >= VCPU_REGS_RAX) {
		if (reg == VCPU_REGS_RSP) {
1787
			rsp_increment(ctxt, ctxt->op_bytes);
1788 1789
			--reg;
		}
1790

1791
		rc = emulate_pop(ctxt, reg_rmw(ctxt, reg), ctxt->op_bytes);
1792 1793 1794
		if (rc != X86EMUL_CONTINUE)
			break;
		--reg;
1795
	}
1796
	return rc;
1797 1798
}

1799
static int __emulate_int_real(struct x86_emulate_ctxt *ctxt, int irq)
1800
{
1801
	const struct x86_emulate_ops *ops = ctxt->ops;
1802
	int rc;
1803 1804 1805 1806 1807 1808
	struct desc_ptr dt;
	gva_t cs_addr;
	gva_t eip_addr;
	u16 cs, eip;

	/* TODO: Add limit checks */
1809
	ctxt->src.val = ctxt->eflags;
1810
	rc = em_push(ctxt);
1811 1812
	if (rc != X86EMUL_CONTINUE)
		return rc;
1813 1814 1815

	ctxt->eflags &= ~(EFLG_IF | EFLG_TF | EFLG_AC);

1816
	ctxt->src.val = get_segment_selector(ctxt, VCPU_SREG_CS);
1817
	rc = em_push(ctxt);
1818 1819
	if (rc != X86EMUL_CONTINUE)
		return rc;
1820

1821
	ctxt->src.val = ctxt->_eip;
1822
	rc = em_push(ctxt);
1823 1824 1825
	if (rc != X86EMUL_CONTINUE)
		return rc;

1826
	ops->get_idt(ctxt, &dt);
1827 1828 1829 1830

	eip_addr = dt.address + (irq << 2);
	cs_addr = dt.address + (irq << 2) + 2;

1831
	rc = ops->read_std(ctxt, cs_addr, &cs, 2, &ctxt->exception);
1832 1833 1834
	if (rc != X86EMUL_CONTINUE)
		return rc;

1835
	rc = ops->read_std(ctxt, eip_addr, &eip, 2, &ctxt->exception);
1836 1837 1838
	if (rc != X86EMUL_CONTINUE)
		return rc;

1839
	rc = load_segment_descriptor(ctxt, cs, VCPU_SREG_CS);
1840 1841 1842
	if (rc != X86EMUL_CONTINUE)
		return rc;

1843
	ctxt->_eip = eip;
1844 1845 1846 1847

	return rc;
}

1848 1849 1850 1851 1852 1853 1854 1855 1856 1857 1858
int emulate_int_real(struct x86_emulate_ctxt *ctxt, int irq)
{
	int rc;

	invalidate_registers(ctxt);
	rc = __emulate_int_real(ctxt, irq);
	if (rc == X86EMUL_CONTINUE)
		writeback_registers(ctxt);
	return rc;
}

1859
static int emulate_int(struct x86_emulate_ctxt *ctxt, int irq)
1860 1861 1862
{
	switch(ctxt->mode) {
	case X86EMUL_MODE_REAL:
1863
		return __emulate_int_real(ctxt, irq);
1864 1865 1866 1867 1868 1869 1870 1871 1872 1873
	case X86EMUL_MODE_VM86:
	case X86EMUL_MODE_PROT16:
	case X86EMUL_MODE_PROT32:
	case X86EMUL_MODE_PROT64:
	default:
		/* Protected mode interrupts unimplemented yet */
		return X86EMUL_UNHANDLEABLE;
	}
}

1874
static int emulate_iret_real(struct x86_emulate_ctxt *ctxt)
1875
{
1876 1877 1878 1879 1880 1881 1882 1883
	int rc = X86EMUL_CONTINUE;
	unsigned long temp_eip = 0;
	unsigned long temp_eflags = 0;
	unsigned long cs = 0;
	unsigned long mask = EFLG_CF | EFLG_PF | EFLG_AF | EFLG_ZF | EFLG_SF | EFLG_TF |
			     EFLG_IF | EFLG_DF | EFLG_OF | EFLG_IOPL | EFLG_NT | EFLG_RF |
			     EFLG_AC | EFLG_ID | (1 << 1); /* Last one is the reserved bit */
	unsigned long vm86_mask = EFLG_VM | EFLG_VIF | EFLG_VIP;
1884

1885
	/* TODO: Add stack limit check */
1886

1887
	rc = emulate_pop(ctxt, &temp_eip, ctxt->op_bytes);
1888

1889 1890
	if (rc != X86EMUL_CONTINUE)
		return rc;
1891

1892 1893
	if (temp_eip & ~0xffff)
		return emulate_gp(ctxt, 0);
1894

1895
	rc = emulate_pop(ctxt, &cs, ctxt->op_bytes);
1896

1897 1898
	if (rc != X86EMUL_CONTINUE)
		return rc;
1899

1900
	rc = emulate_pop(ctxt, &temp_eflags, ctxt->op_bytes);
1901

1902 1903
	if (rc != X86EMUL_CONTINUE)
		return rc;
1904

1905
	rc = load_segment_descriptor(ctxt, (u16)cs, VCPU_SREG_CS);
1906

1907 1908
	if (rc != X86EMUL_CONTINUE)
		return rc;
1909

1910
	ctxt->_eip = temp_eip;
1911 1912


1913
	if (ctxt->op_bytes == 4)
1914
		ctxt->eflags = ((temp_eflags & mask) | (ctxt->eflags & vm86_mask));
1915
	else if (ctxt->op_bytes == 2) {
1916 1917
		ctxt->eflags &= ~0xffff;
		ctxt->eflags |= temp_eflags;
1918
	}
1919 1920 1921 1922 1923

	ctxt->eflags &= ~EFLG_RESERVED_ZEROS_MASK; /* Clear reserved zeros */
	ctxt->eflags |= EFLG_RESERVED_ONE_MASK;

	return rc;
1924 1925
}

1926
static int em_iret(struct x86_emulate_ctxt *ctxt)
1927
{
1928 1929
	switch(ctxt->mode) {
	case X86EMUL_MODE_REAL:
1930
		return emulate_iret_real(ctxt);
1931 1932 1933 1934
	case X86EMUL_MODE_VM86:
	case X86EMUL_MODE_PROT16:
	case X86EMUL_MODE_PROT32:
	case X86EMUL_MODE_PROT64:
1935
	default:
1936 1937
		/* iret from protected mode unimplemented yet */
		return X86EMUL_UNHANDLEABLE;
1938 1939 1940
	}
}

1941 1942 1943 1944 1945
static int em_jmp_far(struct x86_emulate_ctxt *ctxt)
{
	int rc;
	unsigned short sel;

1946
	memcpy(&sel, ctxt->src.valptr + ctxt->op_bytes, 2);
1947

1948
	rc = load_segment_descriptor(ctxt, sel, VCPU_SREG_CS);
1949 1950 1951
	if (rc != X86EMUL_CONTINUE)
		return rc;

1952 1953
	ctxt->_eip = 0;
	memcpy(&ctxt->_eip, ctxt->src.valptr, ctxt->op_bytes);
1954 1955 1956
	return X86EMUL_CONTINUE;
}

1957
static int em_grp45(struct x86_emulate_ctxt *ctxt)
1958
{
1959
	int rc = X86EMUL_CONTINUE;
1960

1961
	switch (ctxt->modrm_reg) {
1962 1963
	case 2: /* call near abs */ {
		long int old_eip;
1964 1965 1966
		old_eip = ctxt->_eip;
		ctxt->_eip = ctxt->src.val;
		ctxt->src.val = old_eip;
1967
		rc = em_push(ctxt);
1968 1969
		break;
	}
1970
	case 4: /* jmp abs */
1971
		ctxt->_eip = ctxt->src.val;
1972
		break;
1973 1974 1975
	case 5: /* jmp far */
		rc = em_jmp_far(ctxt);
		break;
1976
	case 6:	/* push */
1977
		rc = em_push(ctxt);
1978 1979
		break;
	}
1980
	return rc;
1981 1982
}

1983
static int em_cmpxchg8b(struct x86_emulate_ctxt *ctxt)
1984
{
1985
	u64 old = ctxt->dst.orig_val64;
1986

1987 1988 1989 1990
	if (((u32) (old >> 0) != (u32) reg_read(ctxt, VCPU_REGS_RAX)) ||
	    ((u32) (old >> 32) != (u32) reg_read(ctxt, VCPU_REGS_RDX))) {
		*reg_write(ctxt, VCPU_REGS_RAX) = (u32) (old >> 0);
		*reg_write(ctxt, VCPU_REGS_RDX) = (u32) (old >> 32);
1991
		ctxt->eflags &= ~EFLG_ZF;
1992
	} else {
1993 1994
		ctxt->dst.val64 = ((u64)reg_read(ctxt, VCPU_REGS_RCX) << 32) |
			(u32) reg_read(ctxt, VCPU_REGS_RBX);
1995

1996
		ctxt->eflags |= EFLG_ZF;
1997
	}
1998
	return X86EMUL_CONTINUE;
1999 2000
}

2001 2002
static int em_ret(struct x86_emulate_ctxt *ctxt)
{
2003 2004 2005
	ctxt->dst.type = OP_REG;
	ctxt->dst.addr.reg = &ctxt->_eip;
	ctxt->dst.bytes = ctxt->op_bytes;
2006 2007 2008
	return em_pop(ctxt);
}

2009
static int em_ret_far(struct x86_emulate_ctxt *ctxt)
2010 2011 2012 2013
{
	int rc;
	unsigned long cs;

2014
	rc = emulate_pop(ctxt, &ctxt->_eip, ctxt->op_bytes);
2015
	if (rc != X86EMUL_CONTINUE)
2016
		return rc;
2017 2018 2019
	if (ctxt->op_bytes == 4)
		ctxt->_eip = (u32)ctxt->_eip;
	rc = emulate_pop(ctxt, &cs, ctxt->op_bytes);
2020
	if (rc != X86EMUL_CONTINUE)
2021
		return rc;
2022
	rc = load_segment_descriptor(ctxt, (u16)cs, VCPU_SREG_CS);
2023 2024 2025
	return rc;
}

2026 2027 2028 2029 2030 2031 2032 2033 2034 2035 2036
static int em_ret_far_imm(struct x86_emulate_ctxt *ctxt)
{
        int rc;

        rc = em_ret_far(ctxt);
        if (rc != X86EMUL_CONTINUE)
                return rc;
        rsp_increment(ctxt, ctxt->src.val);
        return X86EMUL_CONTINUE;
}

2037 2038 2039 2040
static int em_cmpxchg(struct x86_emulate_ctxt *ctxt)
{
	/* Save real source value, then compare EAX against destination. */
	ctxt->src.orig_val = ctxt->src.val;
2041
	ctxt->src.val = reg_read(ctxt, VCPU_REGS_RAX);
2042
	fastop(ctxt, em_cmp);
2043 2044 2045 2046 2047 2048 2049

	if (ctxt->eflags & EFLG_ZF) {
		/* Success: write back to memory. */
		ctxt->dst.val = ctxt->src.orig_val;
	} else {
		/* Failure: write the value we saw to EAX. */
		ctxt->dst.type = OP_REG;
2050
		ctxt->dst.addr.reg = reg_rmw(ctxt, VCPU_REGS_RAX);
2051 2052 2053 2054
	}
	return X86EMUL_CONTINUE;
}

2055
static int em_lseg(struct x86_emulate_ctxt *ctxt)
2056
{
2057
	int seg = ctxt->src2.val;
2058 2059 2060
	unsigned short sel;
	int rc;

2061
	memcpy(&sel, ctxt->src.valptr + ctxt->op_bytes, 2);
2062

2063
	rc = load_segment_descriptor(ctxt, sel, seg);
2064 2065 2066
	if (rc != X86EMUL_CONTINUE)
		return rc;

2067
	ctxt->dst.val = ctxt->src.val;
2068 2069 2070
	return rc;
}

2071
static void
2072
setup_syscalls_segments(struct x86_emulate_ctxt *ctxt,
2073
			struct desc_struct *cs, struct desc_struct *ss)
2074 2075
{
	cs->l = 0;		/* will be adjusted later */
2076
	set_desc_base(cs, 0);	/* flat segment */
2077
	cs->g = 1;		/* 4kb granularity */
2078
	set_desc_limit(cs, 0xfffff);	/* 4GB limit */
2079 2080 2081
	cs->type = 0x0b;	/* Read, Execute, Accessed */
	cs->s = 1;
	cs->dpl = 0;		/* will be adjusted later */
2082 2083
	cs->p = 1;
	cs->d = 1;
2084
	cs->avl = 0;
2085

2086 2087
	set_desc_base(ss, 0);	/* flat segment */
	set_desc_limit(ss, 0xfffff);	/* 4GB limit */
2088 2089 2090
	ss->g = 1;		/* 4kb granularity */
	ss->s = 1;
	ss->type = 0x03;	/* Read/Write, Accessed */
2091
	ss->d = 1;		/* 32bit stack segment */
2092
	ss->dpl = 0;
2093
	ss->p = 1;
2094 2095
	ss->l = 0;
	ss->avl = 0;
2096 2097
}

2098 2099 2100 2101 2102
static bool vendor_intel(struct x86_emulate_ctxt *ctxt)
{
	u32 eax, ebx, ecx, edx;

	eax = ecx = 0;
2103 2104
	ctxt->ops->get_cpuid(ctxt, &eax, &ebx, &ecx, &edx);
	return ebx == X86EMUL_CPUID_VENDOR_GenuineIntel_ebx
2105 2106 2107 2108
		&& ecx == X86EMUL_CPUID_VENDOR_GenuineIntel_ecx
		&& edx == X86EMUL_CPUID_VENDOR_GenuineIntel_edx;
}

2109 2110
static bool em_syscall_is_enabled(struct x86_emulate_ctxt *ctxt)
{
2111
	const struct x86_emulate_ops *ops = ctxt->ops;
2112 2113 2114 2115 2116 2117 2118 2119 2120 2121 2122
	u32 eax, ebx, ecx, edx;

	/*
	 * syscall should always be enabled in longmode - so only become
	 * vendor specific (cpuid) if other modes are active...
	 */
	if (ctxt->mode == X86EMUL_MODE_PROT64)
		return true;

	eax = 0x00000000;
	ecx = 0x00000000;
2123 2124 2125 2126 2127 2128 2129 2130 2131 2132 2133 2134 2135 2136 2137 2138 2139 2140 2141 2142 2143 2144 2145 2146 2147
	ops->get_cpuid(ctxt, &eax, &ebx, &ecx, &edx);
	/*
	 * Intel ("GenuineIntel")
	 * remark: Intel CPUs only support "syscall" in 64bit
	 * longmode. Also an 64bit guest with a
	 * 32bit compat-app running will #UD !! While this
	 * behaviour can be fixed (by emulating) into AMD
	 * response - CPUs of AMD can't behave like Intel.
	 */
	if (ebx == X86EMUL_CPUID_VENDOR_GenuineIntel_ebx &&
	    ecx == X86EMUL_CPUID_VENDOR_GenuineIntel_ecx &&
	    edx == X86EMUL_CPUID_VENDOR_GenuineIntel_edx)
		return false;

	/* AMD ("AuthenticAMD") */
	if (ebx == X86EMUL_CPUID_VENDOR_AuthenticAMD_ebx &&
	    ecx == X86EMUL_CPUID_VENDOR_AuthenticAMD_ecx &&
	    edx == X86EMUL_CPUID_VENDOR_AuthenticAMD_edx)
		return true;

	/* AMD ("AMDisbetter!") */
	if (ebx == X86EMUL_CPUID_VENDOR_AMDisbetterI_ebx &&
	    ecx == X86EMUL_CPUID_VENDOR_AMDisbetterI_ecx &&
	    edx == X86EMUL_CPUID_VENDOR_AMDisbetterI_edx)
		return true;
2148 2149 2150 2151 2152

	/* default: (not Intel, not AMD), apply Intel's stricter rules... */
	return false;
}

2153
static int em_syscall(struct x86_emulate_ctxt *ctxt)
2154
{
2155
	const struct x86_emulate_ops *ops = ctxt->ops;
2156
	struct desc_struct cs, ss;
2157
	u64 msr_data;
2158
	u16 cs_sel, ss_sel;
2159
	u64 efer = 0;
2160 2161

	/* syscall is not available in real mode */
2162
	if (ctxt->mode == X86EMUL_MODE_REAL ||
2163 2164
	    ctxt->mode == X86EMUL_MODE_VM86)
		return emulate_ud(ctxt);
2165

2166 2167 2168
	if (!(em_syscall_is_enabled(ctxt)))
		return emulate_ud(ctxt);

2169
	ops->get_msr(ctxt, MSR_EFER, &efer);
2170
	setup_syscalls_segments(ctxt, &cs, &ss);
2171

2172 2173 2174
	if (!(efer & EFER_SCE))
		return emulate_ud(ctxt);

2175
	ops->get_msr(ctxt, MSR_STAR, &msr_data);
2176
	msr_data >>= 32;
2177 2178
	cs_sel = (u16)(msr_data & 0xfffc);
	ss_sel = (u16)(msr_data + 8);
2179

2180
	if (efer & EFER_LMA) {
2181
		cs.d = 0;
2182 2183
		cs.l = 1;
	}
2184 2185
	ops->set_segment(ctxt, cs_sel, &cs, 0, VCPU_SREG_CS);
	ops->set_segment(ctxt, ss_sel, &ss, 0, VCPU_SREG_SS);
2186

2187
	*reg_write(ctxt, VCPU_REGS_RCX) = ctxt->_eip;
2188
	if (efer & EFER_LMA) {
2189
#ifdef CONFIG_X86_64
2190
		*reg_write(ctxt, VCPU_REGS_R11) = ctxt->eflags & ~EFLG_RF;
2191

2192
		ops->get_msr(ctxt,
2193 2194
			     ctxt->mode == X86EMUL_MODE_PROT64 ?
			     MSR_LSTAR : MSR_CSTAR, &msr_data);
2195
		ctxt->_eip = msr_data;
2196

2197
		ops->get_msr(ctxt, MSR_SYSCALL_MASK, &msr_data);
2198 2199 2200 2201
		ctxt->eflags &= ~(msr_data | EFLG_RF);
#endif
	} else {
		/* legacy mode */
2202
		ops->get_msr(ctxt, MSR_STAR, &msr_data);
2203
		ctxt->_eip = (u32)msr_data;
2204 2205 2206 2207

		ctxt->eflags &= ~(EFLG_VM | EFLG_IF | EFLG_RF);
	}

2208
	return X86EMUL_CONTINUE;
2209 2210
}

2211
static int em_sysenter(struct x86_emulate_ctxt *ctxt)
2212
{
2213
	const struct x86_emulate_ops *ops = ctxt->ops;
2214
	struct desc_struct cs, ss;
2215
	u64 msr_data;
2216
	u16 cs_sel, ss_sel;
2217
	u64 efer = 0;
2218

2219
	ops->get_msr(ctxt, MSR_EFER, &efer);
2220
	/* inject #GP if in real mode */
2221 2222
	if (ctxt->mode == X86EMUL_MODE_REAL)
		return emulate_gp(ctxt, 0);
2223

2224 2225 2226 2227 2228 2229 2230 2231
	/*
	 * Not recognized on AMD in compat mode (but is recognized in legacy
	 * mode).
	 */
	if ((ctxt->mode == X86EMUL_MODE_PROT32) && (efer & EFER_LMA)
	    && !vendor_intel(ctxt))
		return emulate_ud(ctxt);

2232 2233 2234
	/* XXX sysenter/sysexit have not been tested in 64bit mode.
	* Therefore, we inject an #UD.
	*/
2235 2236
	if (ctxt->mode == X86EMUL_MODE_PROT64)
		return emulate_ud(ctxt);
2237

2238
	setup_syscalls_segments(ctxt, &cs, &ss);
2239

2240
	ops->get_msr(ctxt, MSR_IA32_SYSENTER_CS, &msr_data);
2241 2242
	switch (ctxt->mode) {
	case X86EMUL_MODE_PROT32:
2243 2244
		if ((msr_data & 0xfffc) == 0x0)
			return emulate_gp(ctxt, 0);
2245 2246
		break;
	case X86EMUL_MODE_PROT64:
2247 2248
		if (msr_data == 0x0)
			return emulate_gp(ctxt, 0);
2249
		break;
2250 2251
	default:
		break;
2252 2253 2254
	}

	ctxt->eflags &= ~(EFLG_VM | EFLG_IF | EFLG_RF);
2255 2256 2257 2258
	cs_sel = (u16)msr_data;
	cs_sel &= ~SELECTOR_RPL_MASK;
	ss_sel = cs_sel + 8;
	ss_sel &= ~SELECTOR_RPL_MASK;
2259
	if (ctxt->mode == X86EMUL_MODE_PROT64 || (efer & EFER_LMA)) {
2260
		cs.d = 0;
2261 2262 2263
		cs.l = 1;
	}

2264 2265
	ops->set_segment(ctxt, cs_sel, &cs, 0, VCPU_SREG_CS);
	ops->set_segment(ctxt, ss_sel, &ss, 0, VCPU_SREG_SS);
2266

2267
	ops->get_msr(ctxt, MSR_IA32_SYSENTER_EIP, &msr_data);
2268
	ctxt->_eip = msr_data;
2269

2270
	ops->get_msr(ctxt, MSR_IA32_SYSENTER_ESP, &msr_data);
2271
	*reg_write(ctxt, VCPU_REGS_RSP) = msr_data;
2272

2273
	return X86EMUL_CONTINUE;
2274 2275
}

2276
static int em_sysexit(struct x86_emulate_ctxt *ctxt)
2277
{
2278
	const struct x86_emulate_ops *ops = ctxt->ops;
2279
	struct desc_struct cs, ss;
2280 2281
	u64 msr_data;
	int usermode;
X
Xiao Guangrong 已提交
2282
	u16 cs_sel = 0, ss_sel = 0;
2283

2284 2285
	/* inject #GP if in real mode or Virtual 8086 mode */
	if (ctxt->mode == X86EMUL_MODE_REAL ||
2286 2287
	    ctxt->mode == X86EMUL_MODE_VM86)
		return emulate_gp(ctxt, 0);
2288

2289
	setup_syscalls_segments(ctxt, &cs, &ss);
2290

2291
	if ((ctxt->rex_prefix & 0x8) != 0x0)
2292 2293 2294 2295 2296 2297
		usermode = X86EMUL_MODE_PROT64;
	else
		usermode = X86EMUL_MODE_PROT32;

	cs.dpl = 3;
	ss.dpl = 3;
2298
	ops->get_msr(ctxt, MSR_IA32_SYSENTER_CS, &msr_data);
2299 2300
	switch (usermode) {
	case X86EMUL_MODE_PROT32:
2301
		cs_sel = (u16)(msr_data + 16);
2302 2303
		if ((msr_data & 0xfffc) == 0x0)
			return emulate_gp(ctxt, 0);
2304
		ss_sel = (u16)(msr_data + 24);
2305 2306
		break;
	case X86EMUL_MODE_PROT64:
2307
		cs_sel = (u16)(msr_data + 32);
2308 2309
		if (msr_data == 0x0)
			return emulate_gp(ctxt, 0);
2310 2311
		ss_sel = cs_sel + 8;
		cs.d = 0;
2312 2313 2314
		cs.l = 1;
		break;
	}
2315 2316
	cs_sel |= SELECTOR_RPL_MASK;
	ss_sel |= SELECTOR_RPL_MASK;
2317

2318 2319
	ops->set_segment(ctxt, cs_sel, &cs, 0, VCPU_SREG_CS);
	ops->set_segment(ctxt, ss_sel, &ss, 0, VCPU_SREG_SS);
2320

2321 2322
	ctxt->_eip = reg_read(ctxt, VCPU_REGS_RDX);
	*reg_write(ctxt, VCPU_REGS_RSP) = reg_read(ctxt, VCPU_REGS_RCX);
2323

2324
	return X86EMUL_CONTINUE;
2325 2326
}

2327
static bool emulator_bad_iopl(struct x86_emulate_ctxt *ctxt)
2328 2329 2330 2331 2332 2333 2334
{
	int iopl;
	if (ctxt->mode == X86EMUL_MODE_REAL)
		return false;
	if (ctxt->mode == X86EMUL_MODE_VM86)
		return true;
	iopl = (ctxt->eflags & X86_EFLAGS_IOPL) >> IOPL_SHIFT;
2335
	return ctxt->ops->cpl(ctxt) > iopl;
2336 2337 2338 2339 2340
}

static bool emulator_io_port_access_allowed(struct x86_emulate_ctxt *ctxt,
					    u16 port, u16 len)
{
2341
	const struct x86_emulate_ops *ops = ctxt->ops;
2342
	struct desc_struct tr_seg;
2343
	u32 base3;
2344
	int r;
2345
	u16 tr, io_bitmap_ptr, perm, bit_idx = port & 0x7;
2346
	unsigned mask = (1 << len) - 1;
2347
	unsigned long base;
2348

2349
	ops->get_segment(ctxt, &tr, &tr_seg, &base3, VCPU_SREG_TR);
2350
	if (!tr_seg.p)
2351
		return false;
2352
	if (desc_limit_scaled(&tr_seg) < 103)
2353
		return false;
2354 2355 2356 2357
	base = get_desc_base(&tr_seg);
#ifdef CONFIG_X86_64
	base |= ((u64)base3) << 32;
#endif
2358
	r = ops->read_std(ctxt, base + 102, &io_bitmap_ptr, 2, NULL);
2359 2360
	if (r != X86EMUL_CONTINUE)
		return false;
2361
	if (io_bitmap_ptr + port/8 > desc_limit_scaled(&tr_seg))
2362
		return false;
2363
	r = ops->read_std(ctxt, base + io_bitmap_ptr + port/8, &perm, 2, NULL);
2364 2365 2366 2367 2368 2369 2370 2371 2372 2373
	if (r != X86EMUL_CONTINUE)
		return false;
	if ((perm >> bit_idx) & mask)
		return false;
	return true;
}

static bool emulator_io_permited(struct x86_emulate_ctxt *ctxt,
				 u16 port, u16 len)
{
2374 2375 2376
	if (ctxt->perm_ok)
		return true;

2377 2378
	if (emulator_bad_iopl(ctxt))
		if (!emulator_io_port_access_allowed(ctxt, port, len))
2379
			return false;
2380 2381 2382

	ctxt->perm_ok = true;

2383 2384 2385
	return true;
}

2386 2387 2388
static void save_state_to_tss16(struct x86_emulate_ctxt *ctxt,
				struct tss_segment_16 *tss)
{
2389
	tss->ip = ctxt->_eip;
2390
	tss->flag = ctxt->eflags;
2391 2392 2393 2394 2395 2396 2397 2398
	tss->ax = reg_read(ctxt, VCPU_REGS_RAX);
	tss->cx = reg_read(ctxt, VCPU_REGS_RCX);
	tss->dx = reg_read(ctxt, VCPU_REGS_RDX);
	tss->bx = reg_read(ctxt, VCPU_REGS_RBX);
	tss->sp = reg_read(ctxt, VCPU_REGS_RSP);
	tss->bp = reg_read(ctxt, VCPU_REGS_RBP);
	tss->si = reg_read(ctxt, VCPU_REGS_RSI);
	tss->di = reg_read(ctxt, VCPU_REGS_RDI);
2399

2400 2401 2402 2403 2404
	tss->es = get_segment_selector(ctxt, VCPU_SREG_ES);
	tss->cs = get_segment_selector(ctxt, VCPU_SREG_CS);
	tss->ss = get_segment_selector(ctxt, VCPU_SREG_SS);
	tss->ds = get_segment_selector(ctxt, VCPU_SREG_DS);
	tss->ldt = get_segment_selector(ctxt, VCPU_SREG_LDTR);
2405 2406 2407 2408 2409 2410 2411
}

static int load_state_from_tss16(struct x86_emulate_ctxt *ctxt,
				 struct tss_segment_16 *tss)
{
	int ret;

2412
	ctxt->_eip = tss->ip;
2413
	ctxt->eflags = tss->flag | 2;
2414 2415 2416 2417 2418 2419 2420 2421
	*reg_write(ctxt, VCPU_REGS_RAX) = tss->ax;
	*reg_write(ctxt, VCPU_REGS_RCX) = tss->cx;
	*reg_write(ctxt, VCPU_REGS_RDX) = tss->dx;
	*reg_write(ctxt, VCPU_REGS_RBX) = tss->bx;
	*reg_write(ctxt, VCPU_REGS_RSP) = tss->sp;
	*reg_write(ctxt, VCPU_REGS_RBP) = tss->bp;
	*reg_write(ctxt, VCPU_REGS_RSI) = tss->si;
	*reg_write(ctxt, VCPU_REGS_RDI) = tss->di;
2422 2423 2424 2425 2426

	/*
	 * SDM says that segment selectors are loaded before segment
	 * descriptors
	 */
2427 2428 2429 2430 2431
	set_segment_selector(ctxt, tss->ldt, VCPU_SREG_LDTR);
	set_segment_selector(ctxt, tss->es, VCPU_SREG_ES);
	set_segment_selector(ctxt, tss->cs, VCPU_SREG_CS);
	set_segment_selector(ctxt, tss->ss, VCPU_SREG_SS);
	set_segment_selector(ctxt, tss->ds, VCPU_SREG_DS);
2432 2433

	/*
G
Guo Chao 已提交
2434
	 * Now load segment descriptors. If fault happens at this stage
2435 2436
	 * it is handled in a context of new task
	 */
2437
	ret = load_segment_descriptor(ctxt, tss->ldt, VCPU_SREG_LDTR);
2438 2439
	if (ret != X86EMUL_CONTINUE)
		return ret;
2440
	ret = load_segment_descriptor(ctxt, tss->es, VCPU_SREG_ES);
2441 2442
	if (ret != X86EMUL_CONTINUE)
		return ret;
2443
	ret = load_segment_descriptor(ctxt, tss->cs, VCPU_SREG_CS);
2444 2445
	if (ret != X86EMUL_CONTINUE)
		return ret;
2446
	ret = load_segment_descriptor(ctxt, tss->ss, VCPU_SREG_SS);
2447 2448
	if (ret != X86EMUL_CONTINUE)
		return ret;
2449
	ret = load_segment_descriptor(ctxt, tss->ds, VCPU_SREG_DS);
2450 2451 2452 2453 2454 2455 2456 2457 2458 2459
	if (ret != X86EMUL_CONTINUE)
		return ret;

	return X86EMUL_CONTINUE;
}

static int task_switch_16(struct x86_emulate_ctxt *ctxt,
			  u16 tss_selector, u16 old_tss_sel,
			  ulong old_tss_base, struct desc_struct *new_desc)
{
2460
	const struct x86_emulate_ops *ops = ctxt->ops;
2461 2462
	struct tss_segment_16 tss_seg;
	int ret;
2463
	u32 new_tss_base = get_desc_base(new_desc);
2464

2465
	ret = ops->read_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2466
			    &ctxt->exception);
2467
	if (ret != X86EMUL_CONTINUE)
2468 2469 2470
		/* FIXME: need to provide precise fault address */
		return ret;

2471
	save_state_to_tss16(ctxt, &tss_seg);
2472

2473
	ret = ops->write_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2474
			     &ctxt->exception);
2475
	if (ret != X86EMUL_CONTINUE)
2476 2477 2478
		/* FIXME: need to provide precise fault address */
		return ret;

2479
	ret = ops->read_std(ctxt, new_tss_base, &tss_seg, sizeof tss_seg,
2480
			    &ctxt->exception);
2481
	if (ret != X86EMUL_CONTINUE)
2482 2483 2484 2485 2486 2487
		/* FIXME: need to provide precise fault address */
		return ret;

	if (old_tss_sel != 0xffff) {
		tss_seg.prev_task_link = old_tss_sel;

2488
		ret = ops->write_std(ctxt, new_tss_base,
2489 2490
				     &tss_seg.prev_task_link,
				     sizeof tss_seg.prev_task_link,
2491
				     &ctxt->exception);
2492
		if (ret != X86EMUL_CONTINUE)
2493 2494 2495 2496
			/* FIXME: need to provide precise fault address */
			return ret;
	}

2497
	return load_state_from_tss16(ctxt, &tss_seg);
2498 2499 2500 2501 2502
}

static void save_state_to_tss32(struct x86_emulate_ctxt *ctxt,
				struct tss_segment_32 *tss)
{
2503
	tss->cr3 = ctxt->ops->get_cr(ctxt, 3);
2504
	tss->eip = ctxt->_eip;
2505
	tss->eflags = ctxt->eflags;
2506 2507 2508 2509 2510 2511 2512 2513
	tss->eax = reg_read(ctxt, VCPU_REGS_RAX);
	tss->ecx = reg_read(ctxt, VCPU_REGS_RCX);
	tss->edx = reg_read(ctxt, VCPU_REGS_RDX);
	tss->ebx = reg_read(ctxt, VCPU_REGS_RBX);
	tss->esp = reg_read(ctxt, VCPU_REGS_RSP);
	tss->ebp = reg_read(ctxt, VCPU_REGS_RBP);
	tss->esi = reg_read(ctxt, VCPU_REGS_RSI);
	tss->edi = reg_read(ctxt, VCPU_REGS_RDI);
2514

2515 2516 2517 2518 2519 2520 2521
	tss->es = get_segment_selector(ctxt, VCPU_SREG_ES);
	tss->cs = get_segment_selector(ctxt, VCPU_SREG_CS);
	tss->ss = get_segment_selector(ctxt, VCPU_SREG_SS);
	tss->ds = get_segment_selector(ctxt, VCPU_SREG_DS);
	tss->fs = get_segment_selector(ctxt, VCPU_SREG_FS);
	tss->gs = get_segment_selector(ctxt, VCPU_SREG_GS);
	tss->ldt_selector = get_segment_selector(ctxt, VCPU_SREG_LDTR);
2522 2523 2524 2525 2526 2527 2528
}

static int load_state_from_tss32(struct x86_emulate_ctxt *ctxt,
				 struct tss_segment_32 *tss)
{
	int ret;

2529
	if (ctxt->ops->set_cr(ctxt, 3, tss->cr3))
2530
		return emulate_gp(ctxt, 0);
2531
	ctxt->_eip = tss->eip;
2532
	ctxt->eflags = tss->eflags | 2;
2533 2534

	/* General purpose registers */
2535 2536 2537 2538 2539 2540 2541 2542
	*reg_write(ctxt, VCPU_REGS_RAX) = tss->eax;
	*reg_write(ctxt, VCPU_REGS_RCX) = tss->ecx;
	*reg_write(ctxt, VCPU_REGS_RDX) = tss->edx;
	*reg_write(ctxt, VCPU_REGS_RBX) = tss->ebx;
	*reg_write(ctxt, VCPU_REGS_RSP) = tss->esp;
	*reg_write(ctxt, VCPU_REGS_RBP) = tss->ebp;
	*reg_write(ctxt, VCPU_REGS_RSI) = tss->esi;
	*reg_write(ctxt, VCPU_REGS_RDI) = tss->edi;
2543 2544 2545 2546 2547

	/*
	 * SDM says that segment selectors are loaded before segment
	 * descriptors
	 */
2548 2549 2550 2551 2552 2553 2554
	set_segment_selector(ctxt, tss->ldt_selector, VCPU_SREG_LDTR);
	set_segment_selector(ctxt, tss->es, VCPU_SREG_ES);
	set_segment_selector(ctxt, tss->cs, VCPU_SREG_CS);
	set_segment_selector(ctxt, tss->ss, VCPU_SREG_SS);
	set_segment_selector(ctxt, tss->ds, VCPU_SREG_DS);
	set_segment_selector(ctxt, tss->fs, VCPU_SREG_FS);
	set_segment_selector(ctxt, tss->gs, VCPU_SREG_GS);
2555

2556 2557 2558 2559 2560 2561 2562 2563 2564 2565 2566 2567 2568 2569 2570 2571 2572 2573
	/*
	 * If we're switching between Protected Mode and VM86, we need to make
	 * sure to update the mode before loading the segment descriptors so
	 * that the selectors are interpreted correctly.
	 *
	 * Need to get rflags to the vcpu struct immediately because it
	 * influences the CPL which is checked at least when loading the segment
	 * descriptors and when pushing an error code to the new kernel stack.
	 *
	 * TODO Introduce a separate ctxt->ops->set_cpl callback
	 */
	if (ctxt->eflags & X86_EFLAGS_VM)
		ctxt->mode = X86EMUL_MODE_VM86;
	else
		ctxt->mode = X86EMUL_MODE_PROT32;

	ctxt->ops->set_rflags(ctxt, ctxt->eflags);

2574 2575 2576 2577
	/*
	 * Now load segment descriptors. If fault happenes at this stage
	 * it is handled in a context of new task
	 */
2578
	ret = load_segment_descriptor(ctxt, tss->ldt_selector, VCPU_SREG_LDTR);
2579 2580
	if (ret != X86EMUL_CONTINUE)
		return ret;
2581
	ret = load_segment_descriptor(ctxt, tss->es, VCPU_SREG_ES);
2582 2583
	if (ret != X86EMUL_CONTINUE)
		return ret;
2584
	ret = load_segment_descriptor(ctxt, tss->cs, VCPU_SREG_CS);
2585 2586
	if (ret != X86EMUL_CONTINUE)
		return ret;
2587
	ret = load_segment_descriptor(ctxt, tss->ss, VCPU_SREG_SS);
2588 2589
	if (ret != X86EMUL_CONTINUE)
		return ret;
2590
	ret = load_segment_descriptor(ctxt, tss->ds, VCPU_SREG_DS);
2591 2592
	if (ret != X86EMUL_CONTINUE)
		return ret;
2593
	ret = load_segment_descriptor(ctxt, tss->fs, VCPU_SREG_FS);
2594 2595
	if (ret != X86EMUL_CONTINUE)
		return ret;
2596
	ret = load_segment_descriptor(ctxt, tss->gs, VCPU_SREG_GS);
2597 2598 2599 2600 2601 2602 2603 2604 2605 2606
	if (ret != X86EMUL_CONTINUE)
		return ret;

	return X86EMUL_CONTINUE;
}

static int task_switch_32(struct x86_emulate_ctxt *ctxt,
			  u16 tss_selector, u16 old_tss_sel,
			  ulong old_tss_base, struct desc_struct *new_desc)
{
2607
	const struct x86_emulate_ops *ops = ctxt->ops;
2608 2609
	struct tss_segment_32 tss_seg;
	int ret;
2610
	u32 new_tss_base = get_desc_base(new_desc);
2611

2612
	ret = ops->read_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2613
			    &ctxt->exception);
2614
	if (ret != X86EMUL_CONTINUE)
2615 2616 2617
		/* FIXME: need to provide precise fault address */
		return ret;

2618
	save_state_to_tss32(ctxt, &tss_seg);
2619

2620
	ret = ops->write_std(ctxt, old_tss_base, &tss_seg, sizeof tss_seg,
2621
			     &ctxt->exception);
2622
	if (ret != X86EMUL_CONTINUE)
2623 2624 2625
		/* FIXME: need to provide precise fault address */
		return ret;

2626
	ret = ops->read_std(ctxt, new_tss_base, &tss_seg, sizeof tss_seg,
2627
			    &ctxt->exception);
2628
	if (ret != X86EMUL_CONTINUE)
2629 2630 2631 2632 2633 2634
		/* FIXME: need to provide precise fault address */
		return ret;

	if (old_tss_sel != 0xffff) {
		tss_seg.prev_task_link = old_tss_sel;

2635
		ret = ops->write_std(ctxt, new_tss_base,
2636 2637
				     &tss_seg.prev_task_link,
				     sizeof tss_seg.prev_task_link,
2638
				     &ctxt->exception);
2639
		if (ret != X86EMUL_CONTINUE)
2640 2641 2642 2643
			/* FIXME: need to provide precise fault address */
			return ret;
	}

2644
	return load_state_from_tss32(ctxt, &tss_seg);
2645 2646 2647
}

static int emulator_do_task_switch(struct x86_emulate_ctxt *ctxt,
2648
				   u16 tss_selector, int idt_index, int reason,
2649
				   bool has_error_code, u32 error_code)
2650
{
2651
	const struct x86_emulate_ops *ops = ctxt->ops;
2652 2653
	struct desc_struct curr_tss_desc, next_tss_desc;
	int ret;
2654
	u16 old_tss_sel = get_segment_selector(ctxt, VCPU_SREG_TR);
2655
	ulong old_tss_base =
2656
		ops->get_cached_segment_base(ctxt, VCPU_SREG_TR);
2657
	u32 desc_limit;
2658
	ulong desc_addr;
2659 2660 2661

	/* FIXME: old_tss_base == ~0 ? */

2662
	ret = read_segment_descriptor(ctxt, tss_selector, &next_tss_desc, &desc_addr);
2663 2664
	if (ret != X86EMUL_CONTINUE)
		return ret;
2665
	ret = read_segment_descriptor(ctxt, old_tss_sel, &curr_tss_desc, &desc_addr);
2666 2667 2668 2669 2670
	if (ret != X86EMUL_CONTINUE)
		return ret;

	/* FIXME: check that next_tss_desc is tss */

2671 2672 2673 2674 2675
	/*
	 * Check privileges. The three cases are task switch caused by...
	 *
	 * 1. jmp/call/int to task gate: Check against DPL of the task gate
	 * 2. Exception/IRQ/iret: No check is performed
G
Guo Chao 已提交
2676
	 * 3. jmp/call to TSS: Check against DPL of the TSS
2677 2678 2679 2680 2681 2682 2683 2684 2685 2686 2687 2688 2689 2690 2691 2692 2693 2694 2695 2696
	 */
	if (reason == TASK_SWITCH_GATE) {
		if (idt_index != -1) {
			/* Software interrupts */
			struct desc_struct task_gate_desc;
			int dpl;

			ret = read_interrupt_descriptor(ctxt, idt_index,
							&task_gate_desc);
			if (ret != X86EMUL_CONTINUE)
				return ret;

			dpl = task_gate_desc.dpl;
			if ((tss_selector & 3) > dpl || ops->cpl(ctxt) > dpl)
				return emulate_gp(ctxt, (idt_index << 3) | 0x2);
		}
	} else if (reason != TASK_SWITCH_IRET) {
		int dpl = next_tss_desc.dpl;
		if ((tss_selector & 3) > dpl || ops->cpl(ctxt) > dpl)
			return emulate_gp(ctxt, tss_selector);
2697 2698
	}

2699

2700 2701 2702 2703
	desc_limit = desc_limit_scaled(&next_tss_desc);
	if (!next_tss_desc.p ||
	    ((desc_limit < 0x67 && (next_tss_desc.type & 8)) ||
	     desc_limit < 0x2b)) {
2704
		emulate_ts(ctxt, tss_selector & 0xfffc);
2705 2706 2707 2708 2709
		return X86EMUL_PROPAGATE_FAULT;
	}

	if (reason == TASK_SWITCH_IRET || reason == TASK_SWITCH_JMP) {
		curr_tss_desc.type &= ~(1 << 1); /* clear busy flag */
2710
		write_segment_descriptor(ctxt, old_tss_sel, &curr_tss_desc);
2711 2712 2713 2714 2715 2716
	}

	if (reason == TASK_SWITCH_IRET)
		ctxt->eflags = ctxt->eflags & ~X86_EFLAGS_NT;

	/* set back link to prev task only if NT bit is set in eflags
G
Guo Chao 已提交
2717
	   note that old_tss_sel is not used after this point */
2718 2719 2720 2721
	if (reason != TASK_SWITCH_CALL && reason != TASK_SWITCH_GATE)
		old_tss_sel = 0xffff;

	if (next_tss_desc.type & 8)
2722
		ret = task_switch_32(ctxt, tss_selector, old_tss_sel,
2723 2724
				     old_tss_base, &next_tss_desc);
	else
2725
		ret = task_switch_16(ctxt, tss_selector, old_tss_sel,
2726
				     old_tss_base, &next_tss_desc);
2727 2728
	if (ret != X86EMUL_CONTINUE)
		return ret;
2729 2730 2731 2732 2733 2734

	if (reason == TASK_SWITCH_CALL || reason == TASK_SWITCH_GATE)
		ctxt->eflags = ctxt->eflags | X86_EFLAGS_NT;

	if (reason != TASK_SWITCH_IRET) {
		next_tss_desc.type |= (1 << 1); /* set busy flag */
2735
		write_segment_descriptor(ctxt, tss_selector, &next_tss_desc);
2736 2737
	}

2738
	ops->set_cr(ctxt, 0,  ops->get_cr(ctxt, 0) | X86_CR0_TS);
2739
	ops->set_segment(ctxt, tss_selector, &next_tss_desc, 0, VCPU_SREG_TR);
2740

2741
	if (has_error_code) {
2742 2743 2744
		ctxt->op_bytes = ctxt->ad_bytes = (next_tss_desc.type & 8) ? 4 : 2;
		ctxt->lock_prefix = 0;
		ctxt->src.val = (unsigned long) error_code;
2745
		ret = em_push(ctxt);
2746 2747
	}

2748 2749 2750 2751
	return ret;
}

int emulator_task_switch(struct x86_emulate_ctxt *ctxt,
2752
			 u16 tss_selector, int idt_index, int reason,
2753
			 bool has_error_code, u32 error_code)
2754 2755 2756
{
	int rc;

2757
	invalidate_registers(ctxt);
2758 2759
	ctxt->_eip = ctxt->eip;
	ctxt->dst.type = OP_NONE;
2760

2761
	rc = emulator_do_task_switch(ctxt, tss_selector, idt_index, reason,
2762
				     has_error_code, error_code);
2763

2764
	if (rc == X86EMUL_CONTINUE) {
2765
		ctxt->eip = ctxt->_eip;
2766 2767
		writeback_registers(ctxt);
	}
2768

2769
	return (rc == X86EMUL_UNHANDLEABLE) ? EMULATION_FAILED : EMULATION_OK;
2770 2771
}

2772 2773
static void string_addr_inc(struct x86_emulate_ctxt *ctxt, int reg,
		struct operand *op)
2774
{
2775
	int df = (ctxt->eflags & EFLG_DF) ? -op->count : op->count;
2776

2777 2778
	register_address_increment(ctxt, reg_rmw(ctxt, reg), df * op->bytes);
	op->addr.mem.ea = register_address(ctxt, reg_read(ctxt, reg));
2779 2780
}

2781 2782 2783 2784 2785 2786
static int em_das(struct x86_emulate_ctxt *ctxt)
{
	u8 al, old_al;
	bool af, cf, old_cf;

	cf = ctxt->eflags & X86_EFLAGS_CF;
2787
	al = ctxt->dst.val;
2788 2789 2790 2791 2792 2793 2794 2795 2796 2797 2798 2799 2800 2801 2802 2803 2804

	old_al = al;
	old_cf = cf;
	cf = false;
	af = ctxt->eflags & X86_EFLAGS_AF;
	if ((al & 0x0f) > 9 || af) {
		al -= 6;
		cf = old_cf | (al >= 250);
		af = true;
	} else {
		af = false;
	}
	if (old_al > 0x99 || old_cf) {
		al -= 0x60;
		cf = true;
	}

2805
	ctxt->dst.val = al;
2806
	/* Set PF, ZF, SF */
2807 2808 2809
	ctxt->src.type = OP_IMM;
	ctxt->src.val = 0;
	ctxt->src.bytes = 1;
2810
	fastop(ctxt, em_or);
2811 2812 2813 2814 2815 2816 2817 2818
	ctxt->eflags &= ~(X86_EFLAGS_AF | X86_EFLAGS_CF);
	if (cf)
		ctxt->eflags |= X86_EFLAGS_CF;
	if (af)
		ctxt->eflags |= X86_EFLAGS_AF;
	return X86EMUL_CONTINUE;
}

P
Paolo Bonzini 已提交
2819 2820 2821 2822 2823 2824 2825 2826 2827 2828 2829 2830 2831 2832 2833 2834 2835 2836 2837 2838 2839 2840
static int em_aam(struct x86_emulate_ctxt *ctxt)
{
	u8 al, ah;

	if (ctxt->src.val == 0)
		return emulate_de(ctxt);

	al = ctxt->dst.val & 0xff;
	ah = al / ctxt->src.val;
	al %= ctxt->src.val;

	ctxt->dst.val = (ctxt->dst.val & 0xffff0000) | al | (ah << 8);

	/* Set PF, ZF, SF */
	ctxt->src.type = OP_IMM;
	ctxt->src.val = 0;
	ctxt->src.bytes = 1;
	fastop(ctxt, em_or);

	return X86EMUL_CONTINUE;
}

2841 2842 2843 2844 2845 2846 2847 2848 2849
static int em_aad(struct x86_emulate_ctxt *ctxt)
{
	u8 al = ctxt->dst.val & 0xff;
	u8 ah = (ctxt->dst.val >> 8) & 0xff;

	al = (al + (ah * ctxt->src.val)) & 0xff;

	ctxt->dst.val = (ctxt->dst.val & 0xffff0000) | al;

2850 2851 2852 2853 2854
	/* Set PF, ZF, SF */
	ctxt->src.type = OP_IMM;
	ctxt->src.val = 0;
	ctxt->src.bytes = 1;
	fastop(ctxt, em_or);
2855 2856 2857 2858

	return X86EMUL_CONTINUE;
}

2859 2860 2861 2862 2863 2864 2865 2866 2867
static int em_call(struct x86_emulate_ctxt *ctxt)
{
	long rel = ctxt->src.val;

	ctxt->src.val = (unsigned long)ctxt->_eip;
	jmp_rel(ctxt, rel);
	return em_push(ctxt);
}

2868 2869 2870 2871 2872 2873
static int em_call_far(struct x86_emulate_ctxt *ctxt)
{
	u16 sel, old_cs;
	ulong old_eip;
	int rc;

2874
	old_cs = get_segment_selector(ctxt, VCPU_SREG_CS);
2875
	old_eip = ctxt->_eip;
2876

2877
	memcpy(&sel, ctxt->src.valptr + ctxt->op_bytes, 2);
2878
	if (load_segment_descriptor(ctxt, sel, VCPU_SREG_CS))
2879 2880
		return X86EMUL_CONTINUE;

2881 2882
	ctxt->_eip = 0;
	memcpy(&ctxt->_eip, ctxt->src.valptr, ctxt->op_bytes);
2883

2884
	ctxt->src.val = old_cs;
2885
	rc = em_push(ctxt);
2886 2887 2888
	if (rc != X86EMUL_CONTINUE)
		return rc;

2889
	ctxt->src.val = old_eip;
2890
	return em_push(ctxt);
2891 2892
}

2893 2894 2895 2896
static int em_ret_near_imm(struct x86_emulate_ctxt *ctxt)
{
	int rc;

2897 2898 2899 2900
	ctxt->dst.type = OP_REG;
	ctxt->dst.addr.reg = &ctxt->_eip;
	ctxt->dst.bytes = ctxt->op_bytes;
	rc = emulate_pop(ctxt, &ctxt->dst.val, ctxt->op_bytes);
2901 2902
	if (rc != X86EMUL_CONTINUE)
		return rc;
2903
	rsp_increment(ctxt, ctxt->src.val);
2904 2905 2906
	return X86EMUL_CONTINUE;
}

2907 2908 2909
static int em_xchg(struct x86_emulate_ctxt *ctxt)
{
	/* Write back the register source. */
2910 2911
	ctxt->src.val = ctxt->dst.val;
	write_register_operand(&ctxt->src);
2912 2913

	/* Write back the memory destination with implicit LOCK prefix. */
2914 2915
	ctxt->dst.val = ctxt->src.orig_val;
	ctxt->lock_prefix = 1;
2916 2917 2918
	return X86EMUL_CONTINUE;
}

2919 2920
static int em_imul_3op(struct x86_emulate_ctxt *ctxt)
{
2921
	ctxt->dst.val = ctxt->src2.val;
2922
	return fastop(ctxt, em_imul);
2923 2924
}

2925 2926
static int em_cwd(struct x86_emulate_ctxt *ctxt)
{
2927 2928
	ctxt->dst.type = OP_REG;
	ctxt->dst.bytes = ctxt->src.bytes;
2929
	ctxt->dst.addr.reg = reg_rmw(ctxt, VCPU_REGS_RDX);
2930
	ctxt->dst.val = ~((ctxt->src.val >> (ctxt->src.bytes * 8 - 1)) - 1);
2931 2932 2933 2934

	return X86EMUL_CONTINUE;
}

2935 2936 2937 2938
static int em_rdtsc(struct x86_emulate_ctxt *ctxt)
{
	u64 tsc = 0;

2939
	ctxt->ops->get_msr(ctxt, MSR_IA32_TSC, &tsc);
2940 2941
	*reg_write(ctxt, VCPU_REGS_RAX) = (u32)tsc;
	*reg_write(ctxt, VCPU_REGS_RDX) = tsc >> 32;
2942 2943 2944
	return X86EMUL_CONTINUE;
}

2945 2946 2947 2948
static int em_rdpmc(struct x86_emulate_ctxt *ctxt)
{
	u64 pmc;

2949
	if (ctxt->ops->read_pmc(ctxt, reg_read(ctxt, VCPU_REGS_RCX), &pmc))
2950
		return emulate_gp(ctxt, 0);
2951 2952
	*reg_write(ctxt, VCPU_REGS_RAX) = (u32)pmc;
	*reg_write(ctxt, VCPU_REGS_RDX) = pmc >> 32;
2953 2954 2955
	return X86EMUL_CONTINUE;
}

2956 2957
static int em_mov(struct x86_emulate_ctxt *ctxt)
{
S
Stefan Hajnoczi 已提交
2958
	memcpy(ctxt->dst.valptr, ctxt->src.valptr, ctxt->op_bytes);
2959 2960 2961
	return X86EMUL_CONTINUE;
}

B
Borislav Petkov 已提交
2962 2963 2964 2965 2966 2967 2968 2969 2970 2971 2972 2973 2974 2975 2976 2977 2978 2979 2980 2981 2982 2983 2984 2985 2986 2987 2988 2989 2990 2991 2992 2993 2994 2995 2996 2997 2998 2999 3000 3001
#define FFL(x) bit(X86_FEATURE_##x)

static int em_movbe(struct x86_emulate_ctxt *ctxt)
{
	u32 ebx, ecx, edx, eax = 1;
	u16 tmp;

	/*
	 * Check MOVBE is set in the guest-visible CPUID leaf.
	 */
	ctxt->ops->get_cpuid(ctxt, &eax, &ebx, &ecx, &edx);
	if (!(ecx & FFL(MOVBE)))
		return emulate_ud(ctxt);

	switch (ctxt->op_bytes) {
	case 2:
		/*
		 * From MOVBE definition: "...When the operand size is 16 bits,
		 * the upper word of the destination register remains unchanged
		 * ..."
		 *
		 * Both casting ->valptr and ->val to u16 breaks strict aliasing
		 * rules so we have to do the operation almost per hand.
		 */
		tmp = (u16)ctxt->src.val;
		ctxt->dst.val &= ~0xffffUL;
		ctxt->dst.val |= (unsigned long)swab16(tmp);
		break;
	case 4:
		ctxt->dst.val = swab32((u32)ctxt->src.val);
		break;
	case 8:
		ctxt->dst.val = swab64(ctxt->src.val);
		break;
	default:
		return X86EMUL_PROPAGATE_FAULT;
	}
	return X86EMUL_CONTINUE;
}

3002 3003 3004 3005 3006 3007 3008 3009 3010 3011 3012 3013 3014 3015 3016 3017 3018 3019 3020 3021 3022 3023 3024 3025 3026 3027 3028 3029
static int em_cr_write(struct x86_emulate_ctxt *ctxt)
{
	if (ctxt->ops->set_cr(ctxt, ctxt->modrm_reg, ctxt->src.val))
		return emulate_gp(ctxt, 0);

	/* Disable writeback. */
	ctxt->dst.type = OP_NONE;
	return X86EMUL_CONTINUE;
}

static int em_dr_write(struct x86_emulate_ctxt *ctxt)
{
	unsigned long val;

	if (ctxt->mode == X86EMUL_MODE_PROT64)
		val = ctxt->src.val & ~0ULL;
	else
		val = ctxt->src.val & ~0U;

	/* #UD condition is already handled. */
	if (ctxt->ops->set_dr(ctxt, ctxt->modrm_reg, val) < 0)
		return emulate_gp(ctxt, 0);

	/* Disable writeback. */
	ctxt->dst.type = OP_NONE;
	return X86EMUL_CONTINUE;
}

3030 3031 3032 3033
static int em_wrmsr(struct x86_emulate_ctxt *ctxt)
{
	u64 msr_data;

3034 3035 3036
	msr_data = (u32)reg_read(ctxt, VCPU_REGS_RAX)
		| ((u64)reg_read(ctxt, VCPU_REGS_RDX) << 32);
	if (ctxt->ops->set_msr(ctxt, reg_read(ctxt, VCPU_REGS_RCX), msr_data))
3037 3038 3039 3040 3041 3042 3043 3044 3045
		return emulate_gp(ctxt, 0);

	return X86EMUL_CONTINUE;
}

static int em_rdmsr(struct x86_emulate_ctxt *ctxt)
{
	u64 msr_data;

3046
	if (ctxt->ops->get_msr(ctxt, reg_read(ctxt, VCPU_REGS_RCX), &msr_data))
3047 3048
		return emulate_gp(ctxt, 0);

3049 3050
	*reg_write(ctxt, VCPU_REGS_RAX) = (u32)msr_data;
	*reg_write(ctxt, VCPU_REGS_RDX) = msr_data >> 32;
3051 3052 3053
	return X86EMUL_CONTINUE;
}

3054 3055
static int em_mov_rm_sreg(struct x86_emulate_ctxt *ctxt)
{
3056
	if (ctxt->modrm_reg > VCPU_SREG_GS)
3057 3058
		return emulate_ud(ctxt);

3059
	ctxt->dst.val = get_segment_selector(ctxt, ctxt->modrm_reg);
3060 3061 3062 3063 3064
	return X86EMUL_CONTINUE;
}

static int em_mov_sreg_rm(struct x86_emulate_ctxt *ctxt)
{
3065
	u16 sel = ctxt->src.val;
3066

3067
	if (ctxt->modrm_reg == VCPU_SREG_CS || ctxt->modrm_reg > VCPU_SREG_GS)
3068 3069
		return emulate_ud(ctxt);

3070
	if (ctxt->modrm_reg == VCPU_SREG_SS)
3071 3072 3073
		ctxt->interruptibility = KVM_X86_SHADOW_INT_MOV_SS;

	/* Disable writeback. */
3074 3075
	ctxt->dst.type = OP_NONE;
	return load_segment_descriptor(ctxt, sel, ctxt->modrm_reg);
3076 3077
}

A
Avi Kivity 已提交
3078 3079 3080 3081 3082 3083 3084 3085 3086
static int em_lldt(struct x86_emulate_ctxt *ctxt)
{
	u16 sel = ctxt->src.val;

	/* Disable writeback. */
	ctxt->dst.type = OP_NONE;
	return load_segment_descriptor(ctxt, sel, VCPU_SREG_LDTR);
}

A
Avi Kivity 已提交
3087 3088 3089 3090 3091 3092 3093 3094 3095
static int em_ltr(struct x86_emulate_ctxt *ctxt)
{
	u16 sel = ctxt->src.val;

	/* Disable writeback. */
	ctxt->dst.type = OP_NONE;
	return load_segment_descriptor(ctxt, sel, VCPU_SREG_TR);
}

3096 3097
static int em_invlpg(struct x86_emulate_ctxt *ctxt)
{
3098 3099 3100
	int rc;
	ulong linear;

3101
	rc = linearize(ctxt, ctxt->src.addr.mem, 1, false, &linear);
3102
	if (rc == X86EMUL_CONTINUE)
3103
		ctxt->ops->invlpg(ctxt, linear);
3104
	/* Disable writeback. */
3105
	ctxt->dst.type = OP_NONE;
3106 3107 3108
	return X86EMUL_CONTINUE;
}

3109 3110 3111 3112 3113 3114 3115 3116 3117 3118
static int em_clts(struct x86_emulate_ctxt *ctxt)
{
	ulong cr0;

	cr0 = ctxt->ops->get_cr(ctxt, 0);
	cr0 &= ~X86_CR0_TS;
	ctxt->ops->set_cr(ctxt, 0, cr0);
	return X86EMUL_CONTINUE;
}

3119 3120 3121 3122
static int em_vmcall(struct x86_emulate_ctxt *ctxt)
{
	int rc;

3123
	if (ctxt->modrm_mod != 3 || ctxt->modrm_rm != 1)
3124 3125 3126 3127 3128 3129 3130
		return X86EMUL_UNHANDLEABLE;

	rc = ctxt->ops->fix_hypercall(ctxt);
	if (rc != X86EMUL_CONTINUE)
		return rc;

	/* Let the processor re-execute the fixed hypercall */
3131
	ctxt->_eip = ctxt->eip;
3132
	/* Disable writeback. */
3133
	ctxt->dst.type = OP_NONE;
3134 3135 3136
	return X86EMUL_CONTINUE;
}

3137 3138 3139 3140 3141 3142 3143 3144 3145 3146 3147 3148 3149 3150 3151 3152 3153 3154 3155 3156 3157 3158 3159 3160 3161 3162 3163 3164 3165
static int emulate_store_desc_ptr(struct x86_emulate_ctxt *ctxt,
				  void (*get)(struct x86_emulate_ctxt *ctxt,
					      struct desc_ptr *ptr))
{
	struct desc_ptr desc_ptr;

	if (ctxt->mode == X86EMUL_MODE_PROT64)
		ctxt->op_bytes = 8;
	get(ctxt, &desc_ptr);
	if (ctxt->op_bytes == 2) {
		ctxt->op_bytes = 4;
		desc_ptr.address &= 0x00ffffff;
	}
	/* Disable writeback. */
	ctxt->dst.type = OP_NONE;
	return segmented_write(ctxt, ctxt->dst.addr.mem,
			       &desc_ptr, 2 + ctxt->op_bytes);
}

static int em_sgdt(struct x86_emulate_ctxt *ctxt)
{
	return emulate_store_desc_ptr(ctxt, ctxt->ops->get_gdt);
}

static int em_sidt(struct x86_emulate_ctxt *ctxt)
{
	return emulate_store_desc_ptr(ctxt, ctxt->ops->get_idt);
}

3166 3167 3168 3169 3170
static int em_lgdt(struct x86_emulate_ctxt *ctxt)
{
	struct desc_ptr desc_ptr;
	int rc;

3171 3172
	if (ctxt->mode == X86EMUL_MODE_PROT64)
		ctxt->op_bytes = 8;
3173
	rc = read_descriptor(ctxt, ctxt->src.addr.mem,
3174
			     &desc_ptr.size, &desc_ptr.address,
3175
			     ctxt->op_bytes);
3176 3177 3178 3179
	if (rc != X86EMUL_CONTINUE)
		return rc;
	ctxt->ops->set_gdt(ctxt, &desc_ptr);
	/* Disable writeback. */
3180
	ctxt->dst.type = OP_NONE;
3181 3182 3183
	return X86EMUL_CONTINUE;
}

3184
static int em_vmmcall(struct x86_emulate_ctxt *ctxt)
3185 3186 3187
{
	int rc;

3188 3189
	rc = ctxt->ops->fix_hypercall(ctxt);

3190
	/* Disable writeback. */
3191
	ctxt->dst.type = OP_NONE;
3192 3193 3194 3195 3196 3197 3198 3199
	return rc;
}

static int em_lidt(struct x86_emulate_ctxt *ctxt)
{
	struct desc_ptr desc_ptr;
	int rc;

3200 3201
	if (ctxt->mode == X86EMUL_MODE_PROT64)
		ctxt->op_bytes = 8;
3202
	rc = read_descriptor(ctxt, ctxt->src.addr.mem,
3203
			     &desc_ptr.size, &desc_ptr.address,
3204
			     ctxt->op_bytes);
3205 3206 3207 3208
	if (rc != X86EMUL_CONTINUE)
		return rc;
	ctxt->ops->set_idt(ctxt, &desc_ptr);
	/* Disable writeback. */
3209
	ctxt->dst.type = OP_NONE;
3210 3211 3212 3213 3214
	return X86EMUL_CONTINUE;
}

static int em_smsw(struct x86_emulate_ctxt *ctxt)
{
3215 3216
	ctxt->dst.bytes = 2;
	ctxt->dst.val = ctxt->ops->get_cr(ctxt, 0);
3217 3218 3219 3220 3221 3222
	return X86EMUL_CONTINUE;
}

static int em_lmsw(struct x86_emulate_ctxt *ctxt)
{
	ctxt->ops->set_cr(ctxt, 0, (ctxt->ops->get_cr(ctxt, 0) & ~0x0eul)
3223 3224
			  | (ctxt->src.val & 0x0f));
	ctxt->dst.type = OP_NONE;
3225 3226 3227
	return X86EMUL_CONTINUE;
}

3228 3229
static int em_loop(struct x86_emulate_ctxt *ctxt)
{
3230 3231
	register_address_increment(ctxt, reg_rmw(ctxt, VCPU_REGS_RCX), -1);
	if ((address_mask(ctxt, reg_read(ctxt, VCPU_REGS_RCX)) != 0) &&
3232 3233
	    (ctxt->b == 0xe2 || test_cc(ctxt->b ^ 0x5, ctxt->eflags)))
		jmp_rel(ctxt, ctxt->src.val);
3234 3235 3236 3237 3238 3239

	return X86EMUL_CONTINUE;
}

static int em_jcxz(struct x86_emulate_ctxt *ctxt)
{
3240
	if (address_mask(ctxt, reg_read(ctxt, VCPU_REGS_RCX)) == 0)
3241
		jmp_rel(ctxt, ctxt->src.val);
3242 3243 3244 3245

	return X86EMUL_CONTINUE;
}

3246 3247 3248 3249 3250 3251 3252 3253 3254 3255 3256 3257 3258 3259 3260 3261 3262 3263
static int em_in(struct x86_emulate_ctxt *ctxt)
{
	if (!pio_in_emulated(ctxt, ctxt->dst.bytes, ctxt->src.val,
			     &ctxt->dst.val))
		return X86EMUL_IO_NEEDED;

	return X86EMUL_CONTINUE;
}

static int em_out(struct x86_emulate_ctxt *ctxt)
{
	ctxt->ops->pio_out_emulated(ctxt, ctxt->src.bytes, ctxt->dst.val,
				    &ctxt->src.val, 1);
	/* Disable writeback. */
	ctxt->dst.type = OP_NONE;
	return X86EMUL_CONTINUE;
}

3264 3265 3266 3267 3268 3269 3270 3271 3272 3273 3274 3275 3276 3277 3278 3279 3280 3281 3282
static int em_cli(struct x86_emulate_ctxt *ctxt)
{
	if (emulator_bad_iopl(ctxt))
		return emulate_gp(ctxt, 0);

	ctxt->eflags &= ~X86_EFLAGS_IF;
	return X86EMUL_CONTINUE;
}

static int em_sti(struct x86_emulate_ctxt *ctxt)
{
	if (emulator_bad_iopl(ctxt))
		return emulate_gp(ctxt, 0);

	ctxt->interruptibility = KVM_X86_SHADOW_INT_STI;
	ctxt->eflags |= X86_EFLAGS_IF;
	return X86EMUL_CONTINUE;
}

A
Avi Kivity 已提交
3283 3284 3285 3286
static int em_cpuid(struct x86_emulate_ctxt *ctxt)
{
	u32 eax, ebx, ecx, edx;

3287 3288
	eax = reg_read(ctxt, VCPU_REGS_RAX);
	ecx = reg_read(ctxt, VCPU_REGS_RCX);
A
Avi Kivity 已提交
3289
	ctxt->ops->get_cpuid(ctxt, &eax, &ebx, &ecx, &edx);
3290 3291 3292 3293
	*reg_write(ctxt, VCPU_REGS_RAX) = eax;
	*reg_write(ctxt, VCPU_REGS_RBX) = ebx;
	*reg_write(ctxt, VCPU_REGS_RCX) = ecx;
	*reg_write(ctxt, VCPU_REGS_RDX) = edx;
A
Avi Kivity 已提交
3294 3295 3296
	return X86EMUL_CONTINUE;
}

P
Paolo Bonzini 已提交
3297 3298 3299 3300 3301 3302 3303 3304 3305 3306 3307 3308
static int em_sahf(struct x86_emulate_ctxt *ctxt)
{
	u32 flags;

	flags = EFLG_CF | EFLG_PF | EFLG_AF | EFLG_ZF | EFLG_SF;
	flags &= *reg_rmw(ctxt, VCPU_REGS_RAX) >> 8;

	ctxt->eflags &= ~0xffUL;
	ctxt->eflags |= flags | X86_EFLAGS_FIXED;
	return X86EMUL_CONTINUE;
}

A
Avi Kivity 已提交
3309 3310
static int em_lahf(struct x86_emulate_ctxt *ctxt)
{
3311 3312
	*reg_rmw(ctxt, VCPU_REGS_RAX) &= ~0xff00UL;
	*reg_rmw(ctxt, VCPU_REGS_RAX) |= (ctxt->eflags & 0xff) << 8;
A
Avi Kivity 已提交
3313 3314 3315
	return X86EMUL_CONTINUE;
}

A
Avi Kivity 已提交
3316 3317 3318 3319 3320 3321 3322 3323 3324 3325 3326 3327 3328 3329 3330
static int em_bswap(struct x86_emulate_ctxt *ctxt)
{
	switch (ctxt->op_bytes) {
#ifdef CONFIG_X86_64
	case 8:
		asm("bswap %0" : "+r"(ctxt->dst.val));
		break;
#endif
	default:
		asm("bswap %0" : "+r"(*(u32 *)&ctxt->dst.val));
		break;
	}
	return X86EMUL_CONTINUE;
}

3331 3332 3333 3334 3335 3336 3337 3338 3339 3340 3341 3342 3343 3344
static bool valid_cr(int nr)
{
	switch (nr) {
	case 0:
	case 2 ... 4:
	case 8:
		return true;
	default:
		return false;
	}
}

static int check_cr_read(struct x86_emulate_ctxt *ctxt)
{
3345
	if (!valid_cr(ctxt->modrm_reg))
3346 3347 3348 3349 3350 3351 3352
		return emulate_ud(ctxt);

	return X86EMUL_CONTINUE;
}

static int check_cr_write(struct x86_emulate_ctxt *ctxt)
{
3353 3354
	u64 new_val = ctxt->src.val64;
	int cr = ctxt->modrm_reg;
3355
	u64 efer = 0;
3356 3357 3358 3359 3360 3361 3362 3363 3364 3365 3366 3367 3368 3369 3370 3371 3372

	static u64 cr_reserved_bits[] = {
		0xffffffff00000000ULL,
		0, 0, 0, /* CR3 checked later */
		CR4_RESERVED_BITS,
		0, 0, 0,
		CR8_RESERVED_BITS,
	};

	if (!valid_cr(cr))
		return emulate_ud(ctxt);

	if (new_val & cr_reserved_bits[cr])
		return emulate_gp(ctxt, 0);

	switch (cr) {
	case 0: {
3373
		u64 cr4;
3374 3375 3376 3377
		if (((new_val & X86_CR0_PG) && !(new_val & X86_CR0_PE)) ||
		    ((new_val & X86_CR0_NW) && !(new_val & X86_CR0_CD)))
			return emulate_gp(ctxt, 0);

3378 3379
		cr4 = ctxt->ops->get_cr(ctxt, 4);
		ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
3380 3381 3382 3383 3384 3385 3386 3387 3388 3389

		if ((new_val & X86_CR0_PG) && (efer & EFER_LME) &&
		    !(cr4 & X86_CR4_PAE))
			return emulate_gp(ctxt, 0);

		break;
		}
	case 3: {
		u64 rsvd = 0;

3390 3391
		ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
		if (efer & EFER_LMA)
3392
			rsvd = CR3_L_MODE_RESERVED_BITS;
3393
		else if (ctxt->ops->get_cr(ctxt, 4) & X86_CR4_PAE)
3394
			rsvd = CR3_PAE_RESERVED_BITS;
3395
		else if (ctxt->ops->get_cr(ctxt, 0) & X86_CR0_PG)
3396 3397 3398 3399 3400 3401 3402 3403
			rsvd = CR3_NONPAE_RESERVED_BITS;

		if (new_val & rsvd)
			return emulate_gp(ctxt, 0);

		break;
		}
	case 4: {
3404
		ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
3405 3406 3407 3408 3409 3410 3411 3412 3413 3414 3415

		if ((efer & EFER_LMA) && !(new_val & X86_CR4_PAE))
			return emulate_gp(ctxt, 0);

		break;
		}
	}

	return X86EMUL_CONTINUE;
}

3416 3417 3418 3419
static int check_dr7_gd(struct x86_emulate_ctxt *ctxt)
{
	unsigned long dr7;

3420
	ctxt->ops->get_dr(ctxt, 7, &dr7);
3421 3422 3423 3424 3425 3426 3427

	/* Check if DR7.Global_Enable is set */
	return dr7 & (1 << 13);
}

static int check_dr_read(struct x86_emulate_ctxt *ctxt)
{
3428
	int dr = ctxt->modrm_reg;
3429 3430 3431 3432 3433
	u64 cr4;

	if (dr > 7)
		return emulate_ud(ctxt);

3434
	cr4 = ctxt->ops->get_cr(ctxt, 4);
3435 3436 3437 3438 3439 3440 3441 3442 3443 3444 3445
	if ((cr4 & X86_CR4_DE) && (dr == 4 || dr == 5))
		return emulate_ud(ctxt);

	if (check_dr7_gd(ctxt))
		return emulate_db(ctxt);

	return X86EMUL_CONTINUE;
}

static int check_dr_write(struct x86_emulate_ctxt *ctxt)
{
3446 3447
	u64 new_val = ctxt->src.val64;
	int dr = ctxt->modrm_reg;
3448 3449 3450 3451 3452 3453 3454

	if ((dr == 6 || dr == 7) && (new_val & 0xffffffff00000000ULL))
		return emulate_gp(ctxt, 0);

	return check_dr_read(ctxt);
}

3455 3456 3457 3458
static int check_svme(struct x86_emulate_ctxt *ctxt)
{
	u64 efer;

3459
	ctxt->ops->get_msr(ctxt, MSR_EFER, &efer);
3460 3461 3462 3463 3464 3465 3466 3467 3468

	if (!(efer & EFER_SVME))
		return emulate_ud(ctxt);

	return X86EMUL_CONTINUE;
}

static int check_svme_pa(struct x86_emulate_ctxt *ctxt)
{
3469
	u64 rax = reg_read(ctxt, VCPU_REGS_RAX);
3470 3471

	/* Valid physical address? */
3472
	if (rax & 0xffff000000000000ULL)
3473 3474 3475 3476 3477
		return emulate_gp(ctxt, 0);

	return check_svme(ctxt);
}

3478 3479
static int check_rdtsc(struct x86_emulate_ctxt *ctxt)
{
3480
	u64 cr4 = ctxt->ops->get_cr(ctxt, 4);
3481

3482
	if (cr4 & X86_CR4_TSD && ctxt->ops->cpl(ctxt))
3483 3484 3485 3486 3487
		return emulate_ud(ctxt);

	return X86EMUL_CONTINUE;
}

3488 3489
static int check_rdpmc(struct x86_emulate_ctxt *ctxt)
{
3490
	u64 cr4 = ctxt->ops->get_cr(ctxt, 4);
3491
	u64 rcx = reg_read(ctxt, VCPU_REGS_RCX);
3492

3493
	if ((!(cr4 & X86_CR4_PCE) && ctxt->ops->cpl(ctxt)) ||
3494 3495 3496 3497 3498 3499
	    (rcx > 3))
		return emulate_gp(ctxt, 0);

	return X86EMUL_CONTINUE;
}

3500 3501
static int check_perm_in(struct x86_emulate_ctxt *ctxt)
{
3502 3503
	ctxt->dst.bytes = min(ctxt->dst.bytes, 4u);
	if (!emulator_io_permited(ctxt, ctxt->src.val, ctxt->dst.bytes))
3504 3505 3506 3507 3508 3509 3510
		return emulate_gp(ctxt, 0);

	return X86EMUL_CONTINUE;
}

static int check_perm_out(struct x86_emulate_ctxt *ctxt)
{
3511 3512
	ctxt->src.bytes = min(ctxt->src.bytes, 4u);
	if (!emulator_io_permited(ctxt, ctxt->dst.val, ctxt->src.bytes))
3513 3514 3515 3516 3517
		return emulate_gp(ctxt, 0);

	return X86EMUL_CONTINUE;
}

3518
#define D(_y) { .flags = (_y) }
3519
#define DI(_y, _i) { .flags = (_y), .intercept = x86_intercept_##_i }
3520 3521
#define DIP(_y, _i, _p) { .flags = (_y), .intercept = x86_intercept_##_i, \
		      .check_perm = (_p) }
3522
#define N    D(NotImpl)
3523
#define EXT(_f, _e) { .flags = ((_f) | RMExt), .u.group = (_e) }
3524 3525
#define G(_f, _g) { .flags = ((_f) | Group | ModRM), .u.group = (_g) }
#define GD(_f, _g) { .flags = ((_f) | GroupDual | ModRM), .u.gdual = (_g) }
3526
#define E(_f, _e) { .flags = ((_f) | Escape | ModRM), .u.esc = (_e) }
3527
#define I(_f, _e) { .flags = (_f), .u.execute = (_e) }
3528
#define F(_f, _e) { .flags = (_f) | Fastop, .u.fastop = (_e) }
3529 3530
#define II(_f, _e, _i) \
	{ .flags = (_f), .u.execute = (_e), .intercept = x86_intercept_##_i }
3531 3532 3533
#define IIP(_f, _e, _i, _p) \
	{ .flags = (_f), .u.execute = (_e), .intercept = x86_intercept_##_i, \
	  .check_perm = (_p) }
3534
#define GP(_f, _g) { .flags = ((_f) | Prefix), .u.gprefix = (_g) }
3535

3536
#define D2bv(_f)      D((_f) | ByteOp), D(_f)
3537
#define D2bvIP(_f, _i, _p) DIP((_f) | ByteOp, _i, _p), DIP(_f, _i, _p)
3538
#define I2bv(_f, _e)  I((_f) | ByteOp, _e), I(_f, _e)
3539
#define F2bv(_f, _e)  F((_f) | ByteOp, _e), F(_f, _e)
3540 3541
#define I2bvIP(_f, _e, _i, _p) \
	IIP((_f) | ByteOp, _e, _i, _p), IIP(_f, _e, _i, _p)
3542

3543 3544 3545
#define F6ALU(_f, _e) F2bv((_f) | DstMem | SrcReg | ModRM, _e),		\
		F2bv(((_f) | DstReg | SrcMem | ModRM) & ~Lock, _e),	\
		F2bv(((_f) & ~Lock) | DstAcc | SrcImm, _e)
3546

3547
static const struct opcode group7_rm1[] = {
3548 3549
	DI(SrcNone | Priv, monitor),
	DI(SrcNone | Priv, mwait),
3550 3551 3552
	N, N, N, N, N, N,
};

3553
static const struct opcode group7_rm3[] = {
3554
	DIP(SrcNone | Prot | Priv,		vmrun,		check_svme_pa),
3555
	II(SrcNone  | Prot | EmulateOnUD,	em_vmmcall,	vmmcall),
3556 3557 3558 3559 3560 3561
	DIP(SrcNone | Prot | Priv,		vmload,		check_svme_pa),
	DIP(SrcNone | Prot | Priv,		vmsave,		check_svme_pa),
	DIP(SrcNone | Prot | Priv,		stgi,		check_svme),
	DIP(SrcNone | Prot | Priv,		clgi,		check_svme),
	DIP(SrcNone | Prot | Priv,		skinit,		check_svme),
	DIP(SrcNone | Prot | Priv,		invlpga,	check_svme),
3562
};
3563

3564
static const struct opcode group7_rm7[] = {
3565
	N,
3566
	DIP(SrcNone, rdtscp, check_rdtsc),
3567 3568
	N, N, N, N, N, N,
};
3569

3570
static const struct opcode group1[] = {
3571 3572 3573 3574 3575 3576 3577 3578
	F(Lock, em_add),
	F(Lock | PageTable, em_or),
	F(Lock, em_adc),
	F(Lock, em_sbb),
	F(Lock | PageTable, em_and),
	F(Lock, em_sub),
	F(Lock, em_xor),
	F(NoWrite, em_cmp),
3579 3580
};

3581
static const struct opcode group1A[] = {
3582
	I(DstMem | SrcNone | Mov | Stack, em_pop), N, N, N, N, N, N, N,
3583 3584
};

3585 3586 3587 3588 3589 3590 3591 3592 3593 3594 3595
static const struct opcode group2[] = {
	F(DstMem | ModRM, em_rol),
	F(DstMem | ModRM, em_ror),
	F(DstMem | ModRM, em_rcl),
	F(DstMem | ModRM, em_rcr),
	F(DstMem | ModRM, em_shl),
	F(DstMem | ModRM, em_shr),
	F(DstMem | ModRM, em_shl),
	F(DstMem | ModRM, em_sar),
};

3596
static const struct opcode group3[] = {
3597 3598
	F(DstMem | SrcImm | NoWrite, em_test),
	F(DstMem | SrcImm | NoWrite, em_test),
3599 3600
	F(DstMem | SrcNone | Lock, em_not),
	F(DstMem | SrcNone | Lock, em_neg),
3601 3602
	F(DstXacc | Src2Mem, em_mul_ex),
	F(DstXacc | Src2Mem, em_imul_ex),
3603 3604
	F(DstXacc | Src2Mem, em_div_ex),
	F(DstXacc | Src2Mem, em_idiv_ex),
3605 3606
};

3607
static const struct opcode group4[] = {
3608 3609
	F(ByteOp | DstMem | SrcNone | Lock, em_inc),
	F(ByteOp | DstMem | SrcNone | Lock, em_dec),
3610 3611 3612
	N, N, N, N, N, N,
};

3613
static const struct opcode group5[] = {
3614 3615
	F(DstMem | SrcNone | Lock,		em_inc),
	F(DstMem | SrcNone | Lock,		em_dec),
3616 3617 3618 3619
	I(SrcMem | Stack,			em_grp45),
	I(SrcMemFAddr | ImplicitOps | Stack,	em_call_far),
	I(SrcMem | Stack,			em_grp45),
	I(SrcMemFAddr | ImplicitOps,		em_grp45),
3620
	I(SrcMem | Stack,			em_grp45), D(Undefined),
3621 3622
};

3623
static const struct opcode group6[] = {
3624 3625
	DI(Prot,	sldt),
	DI(Prot,	str),
A
Avi Kivity 已提交
3626
	II(Prot | Priv | SrcMem16, em_lldt, lldt),
A
Avi Kivity 已提交
3627
	II(Prot | Priv | SrcMem16, em_ltr, ltr),
3628 3629 3630
	N, N, N, N,
};

3631
static const struct group_dual group7 = { {
3632 3633
	II(Mov | DstMem | Priv,			em_sgdt, sgdt),
	II(Mov | DstMem | Priv,			em_sidt, sidt),
3634 3635 3636 3637 3638
	II(SrcMem | Priv,			em_lgdt, lgdt),
	II(SrcMem | Priv,			em_lidt, lidt),
	II(SrcNone | DstMem | Mov,		em_smsw, smsw), N,
	II(SrcMem16 | Mov | Priv,		em_lmsw, lmsw),
	II(SrcMem | ByteOp | Priv | NoAccess,	em_invlpg, invlpg),
3639
}, {
3640
	I(SrcNone | Priv | EmulateOnUD,	em_vmcall),
3641
	EXT(0, group7_rm1),
3642
	N, EXT(0, group7_rm3),
3643 3644 3645
	II(SrcNone | DstMem | Mov,		em_smsw, smsw), N,
	II(SrcMem16 | Mov | Priv,		em_lmsw, lmsw),
	EXT(0, group7_rm7),
3646 3647
} };

3648
static const struct opcode group8[] = {
3649
	N, N, N, N,
3650 3651 3652 3653
	F(DstMem | SrcImmByte | NoWrite,		em_bt),
	F(DstMem | SrcImmByte | Lock | PageTable,	em_bts),
	F(DstMem | SrcImmByte | Lock,			em_btr),
	F(DstMem | SrcImmByte | Lock | PageTable,	em_btc),
3654 3655
};

3656
static const struct group_dual group9 = { {
3657
	N, I(DstMem64 | Lock | PageTable, em_cmpxchg8b), N, N, N, N, N, N,
3658 3659 3660 3661
}, {
	N, N, N, N, N, N, N, N,
} };

3662
static const struct opcode group11[] = {
3663
	I(DstMem | SrcImm | Mov | PageTable, em_mov),
3664
	X7(D(Undefined)),
3665 3666
};

3667
static const struct gprefix pfx_0f_6f_0f_7f = {
3668
	I(Mmx, em_mov), I(Sse | Aligned, em_mov), N, I(Sse | Unaligned, em_mov),
3669 3670
};

3671
static const struct gprefix pfx_vmovntpx = {
3672 3673 3674
	I(0, em_mov), N, N, N,
};

3675 3676 3677 3678 3679 3680 3681 3682 3683 3684 3685 3686 3687 3688 3689 3690 3691 3692 3693 3694 3695 3696 3697 3698 3699 3700 3701 3702 3703 3704 3705 3706 3707 3708 3709 3710 3711 3712 3713 3714 3715 3716 3717 3718 3719 3720 3721 3722 3723 3724 3725 3726 3727 3728 3729 3730 3731 3732 3733 3734 3735 3736 3737
static const struct escape escape_d9 = { {
	N, N, N, N, N, N, N, I(DstMem, em_fnstcw),
}, {
	/* 0xC0 - 0xC7 */
	N, N, N, N, N, N, N, N,
	/* 0xC8 - 0xCF */
	N, N, N, N, N, N, N, N,
	/* 0xD0 - 0xC7 */
	N, N, N, N, N, N, N, N,
	/* 0xD8 - 0xDF */
	N, N, N, N, N, N, N, N,
	/* 0xE0 - 0xE7 */
	N, N, N, N, N, N, N, N,
	/* 0xE8 - 0xEF */
	N, N, N, N, N, N, N, N,
	/* 0xF0 - 0xF7 */
	N, N, N, N, N, N, N, N,
	/* 0xF8 - 0xFF */
	N, N, N, N, N, N, N, N,
} };

static const struct escape escape_db = { {
	N, N, N, N, N, N, N, N,
}, {
	/* 0xC0 - 0xC7 */
	N, N, N, N, N, N, N, N,
	/* 0xC8 - 0xCF */
	N, N, N, N, N, N, N, N,
	/* 0xD0 - 0xC7 */
	N, N, N, N, N, N, N, N,
	/* 0xD8 - 0xDF */
	N, N, N, N, N, N, N, N,
	/* 0xE0 - 0xE7 */
	N, N, N, I(ImplicitOps, em_fninit), N, N, N, N,
	/* 0xE8 - 0xEF */
	N, N, N, N, N, N, N, N,
	/* 0xF0 - 0xF7 */
	N, N, N, N, N, N, N, N,
	/* 0xF8 - 0xFF */
	N, N, N, N, N, N, N, N,
} };

static const struct escape escape_dd = { {
	N, N, N, N, N, N, N, I(DstMem, em_fnstsw),
}, {
	/* 0xC0 - 0xC7 */
	N, N, N, N, N, N, N, N,
	/* 0xC8 - 0xCF */
	N, N, N, N, N, N, N, N,
	/* 0xD0 - 0xC7 */
	N, N, N, N, N, N, N, N,
	/* 0xD8 - 0xDF */
	N, N, N, N, N, N, N, N,
	/* 0xE0 - 0xE7 */
	N, N, N, N, N, N, N, N,
	/* 0xE8 - 0xEF */
	N, N, N, N, N, N, N, N,
	/* 0xF0 - 0xF7 */
	N, N, N, N, N, N, N, N,
	/* 0xF8 - 0xFF */
	N, N, N, N, N, N, N, N,
} };

3738
static const struct opcode opcode_table[256] = {
3739
	/* 0x00 - 0x07 */
3740
	F6ALU(Lock, em_add),
3741 3742
	I(ImplicitOps | Stack | No64 | Src2ES, em_push_sreg),
	I(ImplicitOps | Stack | No64 | Src2ES, em_pop_sreg),
3743
	/* 0x08 - 0x0F */
3744
	F6ALU(Lock | PageTable, em_or),
3745 3746
	I(ImplicitOps | Stack | No64 | Src2CS, em_push_sreg),
	N,
3747
	/* 0x10 - 0x17 */
3748
	F6ALU(Lock, em_adc),
3749 3750
	I(ImplicitOps | Stack | No64 | Src2SS, em_push_sreg),
	I(ImplicitOps | Stack | No64 | Src2SS, em_pop_sreg),
3751
	/* 0x18 - 0x1F */
3752
	F6ALU(Lock, em_sbb),
3753 3754
	I(ImplicitOps | Stack | No64 | Src2DS, em_push_sreg),
	I(ImplicitOps | Stack | No64 | Src2DS, em_pop_sreg),
3755
	/* 0x20 - 0x27 */
3756
	F6ALU(Lock | PageTable, em_and), N, N,
3757
	/* 0x28 - 0x2F */
3758
	F6ALU(Lock, em_sub), N, I(ByteOp | DstAcc | No64, em_das),
3759
	/* 0x30 - 0x37 */
3760
	F6ALU(Lock, em_xor), N, N,
3761
	/* 0x38 - 0x3F */
3762
	F6ALU(NoWrite, em_cmp), N, N,
3763
	/* 0x40 - 0x4F */
3764
	X8(F(DstReg, em_inc)), X8(F(DstReg, em_dec)),
3765
	/* 0x50 - 0x57 */
3766
	X8(I(SrcReg | Stack, em_push)),
3767
	/* 0x58 - 0x5F */
3768
	X8(I(DstReg | Stack, em_pop)),
3769
	/* 0x60 - 0x67 */
3770 3771
	I(ImplicitOps | Stack | No64, em_pusha),
	I(ImplicitOps | Stack | No64, em_popa),
3772 3773 3774
	N, D(DstReg | SrcMem32 | ModRM | Mov) /* movsxd (x86/64) */ ,
	N, N, N, N,
	/* 0x68 - 0x6F */
3775 3776
	I(SrcImm | Mov | Stack, em_push),
	I(DstReg | SrcMem | ModRM | Src2Imm, em_imul_3op),
3777 3778
	I(SrcImmByte | Mov | Stack, em_push),
	I(DstReg | SrcMem | ModRM | Src2ImmByte, em_imul_3op),
3779
	I2bvIP(DstDI | SrcDX | Mov | String | Unaligned, em_in, ins, check_perm_in), /* insb, insw/insd */
3780
	I2bvIP(SrcSI | DstDX | String, em_out, outs, check_perm_out), /* outsb, outsw/outsd */
3781 3782 3783
	/* 0x70 - 0x7F */
	X16(D(SrcImmByte)),
	/* 0x80 - 0x87 */
3784 3785 3786 3787
	G(ByteOp | DstMem | SrcImm, group1),
	G(DstMem | SrcImm, group1),
	G(ByteOp | DstMem | SrcImm | No64, group1),
	G(DstMem | SrcImmByte, group1),
3788
	F2bv(DstMem | SrcReg | ModRM | NoWrite, em_test),
3789
	I2bv(DstMem | SrcReg | ModRM | Lock | PageTable, em_xchg),
3790
	/* 0x88 - 0x8F */
3791
	I2bv(DstMem | SrcReg | ModRM | Mov | PageTable, em_mov),
3792
	I2bv(DstReg | SrcMem | ModRM | Mov, em_mov),
3793
	I(DstMem | SrcNone | ModRM | Mov | PageTable, em_mov_rm_sreg),
3794 3795 3796
	D(ModRM | SrcMem | NoAccess | DstReg),
	I(ImplicitOps | SrcMem16 | ModRM, em_mov_sreg_rm),
	G(0, group1A),
3797
	/* 0x90 - 0x97 */
3798
	DI(SrcAcc | DstReg, pause), X7(D(SrcAcc | DstReg)),
3799
	/* 0x98 - 0x9F */
3800
	D(DstAcc | SrcNone), I(ImplicitOps | SrcAcc, em_cwd),
3801
	I(SrcImmFAddr | No64, em_call_far), N,
3802
	II(ImplicitOps | Stack, em_pushf, pushf),
P
Paolo Bonzini 已提交
3803 3804
	II(ImplicitOps | Stack, em_popf, popf),
	I(ImplicitOps, em_sahf), I(ImplicitOps, em_lahf),
3805
	/* 0xA0 - 0xA7 */
3806
	I2bv(DstAcc | SrcMem | Mov | MemAbs, em_mov),
3807
	I2bv(DstMem | SrcAcc | Mov | MemAbs | PageTable, em_mov),
3808
	I2bv(SrcSI | DstDI | Mov | String, em_mov),
3809
	F2bv(SrcSI | DstDI | String | NoWrite, em_cmp),
3810
	/* 0xA8 - 0xAF */
3811
	F2bv(DstAcc | SrcImm | NoWrite, em_test),
3812 3813
	I2bv(SrcAcc | DstDI | Mov | String, em_mov),
	I2bv(SrcSI | DstAcc | Mov | String, em_mov),
3814
	F2bv(SrcAcc | DstDI | String | NoWrite, em_cmp),
3815
	/* 0xB0 - 0xB7 */
3816
	X8(I(ByteOp | DstReg | SrcImm | Mov, em_mov)),
3817
	/* 0xB8 - 0xBF */
3818
	X8(I(DstReg | SrcImm64 | Mov, em_mov)),
3819
	/* 0xC0 - 0xC7 */
3820
	G(ByteOp | Src2ImmByte, group2), G(Src2ImmByte, group2),
3821
	I(ImplicitOps | Stack | SrcImmU16, em_ret_near_imm),
3822
	I(ImplicitOps | Stack, em_ret),
3823 3824
	I(DstReg | SrcMemFAddr | ModRM | No64 | Src2ES, em_lseg),
	I(DstReg | SrcMemFAddr | ModRM | No64 | Src2DS, em_lseg),
3825
	G(ByteOp, group11), G(0, group11),
3826
	/* 0xC8 - 0xCF */
A
Avi Kivity 已提交
3827
	I(Stack | SrcImmU16 | Src2ImmByte, em_enter), I(Stack, em_leave),
3828 3829
	I(ImplicitOps | Stack | SrcImmU16, em_ret_far_imm),
	I(ImplicitOps | Stack, em_ret_far),
3830
	D(ImplicitOps), DI(SrcImmByte, intn),
3831
	D(ImplicitOps | No64), II(ImplicitOps, em_iret, iret),
3832
	/* 0xD0 - 0xD7 */
3833 3834
	G(Src2One | ByteOp, group2), G(Src2One, group2),
	G(Src2CL | ByteOp, group2), G(Src2CL, group2),
P
Paolo Bonzini 已提交
3835
	I(DstAcc | SrcImmUByte | No64, em_aam),
P
Paolo Bonzini 已提交
3836 3837
	I(DstAcc | SrcImmUByte | No64, em_aad),
	F(DstAcc | ByteOp | No64, em_salc),
P
Paolo Bonzini 已提交
3838
	I(DstAcc | SrcXLat | ByteOp, em_mov),
3839
	/* 0xD8 - 0xDF */
3840
	N, E(0, &escape_d9), N, E(0, &escape_db), N, E(0, &escape_dd), N, N,
3841
	/* 0xE0 - 0xE7 */
3842 3843
	X3(I(SrcImmByte, em_loop)),
	I(SrcImmByte, em_jcxz),
3844 3845
	I2bvIP(SrcImmUByte | DstAcc, em_in,  in,  check_perm_in),
	I2bvIP(SrcAcc | DstImmUByte, em_out, out, check_perm_out),
3846
	/* 0xE8 - 0xEF */
3847
	I(SrcImm | Stack, em_call), D(SrcImm | ImplicitOps),
3848
	I(SrcImmFAddr | No64, em_jmp_far), D(SrcImmByte | ImplicitOps),
3849 3850
	I2bvIP(SrcDX | DstAcc, em_in,  in,  check_perm_in),
	I2bvIP(SrcAcc | DstDX, em_out, out, check_perm_out),
3851
	/* 0xF0 - 0xF7 */
3852
	N, DI(ImplicitOps, icebp), N, N,
3853 3854
	DI(ImplicitOps | Priv, hlt), D(ImplicitOps),
	G(ByteOp, group3), G(0, group3),
3855
	/* 0xF8 - 0xFF */
3856 3857
	D(ImplicitOps), D(ImplicitOps),
	I(ImplicitOps, em_cli), I(ImplicitOps, em_sti),
3858 3859 3860
	D(ImplicitOps), D(ImplicitOps), G(0, group4), G(0, group5),
};

3861
static const struct opcode twobyte_table[256] = {
3862
	/* 0x00 - 0x0F */
3863
	G(0, group6), GD(0, &group7), N, N,
3864
	N, I(ImplicitOps | EmulateOnUD, em_syscall),
3865
	II(ImplicitOps | Priv, em_clts, clts), N,
3866
	DI(ImplicitOps | Priv, invd), DI(ImplicitOps | Priv, wbinvd), N, N,
3867 3868
	N, D(ImplicitOps | ModRM), N, N,
	/* 0x10 - 0x1F */
P
Paolo Bonzini 已提交
3869 3870
	N, N, N, N, N, N, N, N,
	D(ImplicitOps | ModRM), N, N, N, N, N, N, D(ImplicitOps | ModRM),
3871
	/* 0x20 - 0x2F */
3872
	DIP(ModRM | DstMem | Priv | Op3264, cr_read, check_cr_read),
3873
	DIP(ModRM | DstMem | Priv | Op3264, dr_read, check_dr_read),
3874 3875
	IIP(ModRM | SrcMem | Priv | Op3264, em_cr_write, cr_write, check_cr_write),
	IIP(ModRM | SrcMem | Priv | Op3264, em_dr_write, dr_write, check_dr_write),
3876
	N, N, N, N,
3877 3878
	N, N, N, GP(ModRM | DstMem | SrcReg | Sse | Mov | Aligned, &pfx_vmovntpx),
	N, N, N, N,
3879
	/* 0x30 - 0x3F */
3880
	II(ImplicitOps | Priv, em_wrmsr, wrmsr),
3881
	IIP(ImplicitOps, em_rdtsc, rdtsc, check_rdtsc),
3882
	II(ImplicitOps | Priv, em_rdmsr, rdmsr),
3883
	IIP(ImplicitOps, em_rdpmc, rdpmc, check_rdpmc),
3884 3885
	I(ImplicitOps | EmulateOnUD, em_sysenter),
	I(ImplicitOps | Priv | EmulateOnUD, em_sysexit),
3886
	N, N,
3887 3888 3889 3890 3891 3892
	N, N, N, N, N, N, N, N,
	/* 0x40 - 0x4F */
	X16(D(DstReg | SrcMem | ModRM | Mov)),
	/* 0x50 - 0x5F */
	N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N,
	/* 0x60 - 0x6F */
3893 3894 3895 3896
	N, N, N, N,
	N, N, N, N,
	N, N, N, N,
	N, N, N, GP(SrcMem | DstReg | ModRM | Mov, &pfx_0f_6f_0f_7f),
3897
	/* 0x70 - 0x7F */
3898 3899 3900 3901
	N, N, N, N,
	N, N, N, N,
	N, N, N, N,
	N, N, N, GP(SrcReg | DstMem | ModRM | Mov, &pfx_0f_6f_0f_7f),
3902 3903 3904
	/* 0x80 - 0x8F */
	X16(D(SrcImm)),
	/* 0x90 - 0x9F */
3905
	X16(D(ByteOp | DstMem | SrcNone | ModRM| Mov)),
3906
	/* 0xA0 - 0xA7 */
3907
	I(Stack | Src2FS, em_push_sreg), I(Stack | Src2FS, em_pop_sreg),
3908 3909
	II(ImplicitOps, em_cpuid, cpuid),
	F(DstMem | SrcReg | ModRM | BitOp | NoWrite, em_bt),
3910 3911
	F(DstMem | SrcReg | Src2ImmByte | ModRM, em_shld),
	F(DstMem | SrcReg | Src2CL | ModRM, em_shld), N, N,
3912
	/* 0xA8 - 0xAF */
3913
	I(Stack | Src2GS, em_push_sreg), I(Stack | Src2GS, em_pop_sreg),
3914
	DI(ImplicitOps, rsm),
3915
	F(DstMem | SrcReg | ModRM | BitOp | Lock | PageTable, em_bts),
3916 3917
	F(DstMem | SrcReg | Src2ImmByte | ModRM, em_shrd),
	F(DstMem | SrcReg | Src2CL | ModRM, em_shrd),
3918
	D(ModRM), F(DstReg | SrcMem | ModRM, em_imul),
3919
	/* 0xB0 - 0xB7 */
3920
	I2bv(DstMem | SrcReg | ModRM | Lock | PageTable, em_cmpxchg),
3921
	I(DstReg | SrcMemFAddr | ModRM | Src2SS, em_lseg),
3922
	F(DstMem | SrcReg | ModRM | BitOp | Lock, em_btr),
3923 3924
	I(DstReg | SrcMemFAddr | ModRM | Src2FS, em_lseg),
	I(DstReg | SrcMemFAddr | ModRM | Src2GS, em_lseg),
3925
	D(DstReg | SrcMem8 | ModRM | Mov), D(DstReg | SrcMem16 | ModRM | Mov),
3926 3927
	/* 0xB8 - 0xBF */
	N, N,
3928
	G(BitOp, group8),
3929 3930
	F(DstMem | SrcReg | ModRM | BitOp | Lock | PageTable, em_btc),
	F(DstReg | SrcMem | ModRM, em_bsf), F(DstReg | SrcMem | ModRM, em_bsr),
3931
	D(DstReg | SrcMem8 | ModRM | Mov), D(DstReg | SrcMem16 | ModRM | Mov),
A
Avi Kivity 已提交
3932
	/* 0xC0 - 0xC7 */
3933
	F2bv(DstMem | SrcReg | ModRM | SrcWrite | Lock, em_xadd),
3934
	N, D(DstMem | SrcReg | ModRM | Mov),
3935
	N, N, N, GD(0, &group9),
A
Avi Kivity 已提交
3936 3937
	/* 0xC8 - 0xCF */
	X8(I(DstReg, em_bswap)),
3938 3939 3940 3941 3942 3943 3944 3945
	/* 0xD0 - 0xDF */
	N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N,
	/* 0xE0 - 0xEF */
	N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N,
	/* 0xF0 - 0xFF */
	N, N, N, N, N, N, N, N, N, N, N, N, N, N, N, N
};

3946
static const struct gprefix three_byte_0f_38_f0 = {
B
Borislav Petkov 已提交
3947
	I(DstReg | SrcMem | Mov, em_movbe), N, N, N
3948 3949 3950
};

static const struct gprefix three_byte_0f_38_f1 = {
B
Borislav Petkov 已提交
3951
	I(DstMem | SrcReg | Mov, em_movbe), N, N, N
3952 3953 3954 3955 3956 3957 3958 3959 3960
};

/*
 * Insns below are selected by the prefix which indexed by the third opcode
 * byte.
 */
static const struct opcode opcode_map_0f_38[256] = {
	/* 0x00 - 0x7f */
	X16(N), X16(N), X16(N), X16(N), X16(N), X16(N), X16(N), X16(N),
B
Borislav Petkov 已提交
3961 3962 3963 3964 3965 3966 3967
	/* 0x80 - 0xef */
	X16(N), X16(N), X16(N), X16(N), X16(N), X16(N), X16(N),
	/* 0xf0 - 0xf1 */
	GP(EmulateOnUD | ModRM | Prefix, &three_byte_0f_38_f0),
	GP(EmulateOnUD | ModRM | Prefix, &three_byte_0f_38_f1),
	/* 0xf2 - 0xff */
	N, N, X4(N), X8(N)
3968 3969
};

3970 3971 3972 3973 3974
#undef D
#undef N
#undef G
#undef GD
#undef I
3975
#undef GP
3976
#undef EXT
3977

3978
#undef D2bv
3979
#undef D2bvIP
3980
#undef I2bv
3981
#undef I2bvIP
3982
#undef I6ALU
3983

3984
static unsigned imm_size(struct x86_emulate_ctxt *ctxt)
3985 3986 3987
{
	unsigned size;

3988
	size = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
3989 3990 3991 3992 3993 3994 3995 3996 3997 3998 3999 4000
	if (size == 8)
		size = 4;
	return size;
}

static int decode_imm(struct x86_emulate_ctxt *ctxt, struct operand *op,
		      unsigned size, bool sign_extension)
{
	int rc = X86EMUL_CONTINUE;

	op->type = OP_IMM;
	op->bytes = size;
4001
	op->addr.mem.ea = ctxt->_eip;
4002 4003 4004
	/* NB. Immediates are sign-extended as necessary. */
	switch (op->bytes) {
	case 1:
4005
		op->val = insn_fetch(s8, ctxt);
4006 4007
		break;
	case 2:
4008
		op->val = insn_fetch(s16, ctxt);
4009 4010
		break;
	case 4:
4011
		op->val = insn_fetch(s32, ctxt);
4012
		break;
4013 4014 4015
	case 8:
		op->val = insn_fetch(s64, ctxt);
		break;
4016 4017 4018 4019 4020 4021 4022 4023 4024 4025 4026 4027 4028 4029 4030 4031 4032 4033
	}
	if (!sign_extension) {
		switch (op->bytes) {
		case 1:
			op->val &= 0xff;
			break;
		case 2:
			op->val &= 0xffff;
			break;
		case 4:
			op->val &= 0xffffffff;
			break;
		}
	}
done:
	return rc;
}

4034 4035 4036 4037 4038 4039 4040
static int decode_operand(struct x86_emulate_ctxt *ctxt, struct operand *op,
			  unsigned d)
{
	int rc = X86EMUL_CONTINUE;

	switch (d) {
	case OpReg:
4041
		decode_register_operand(ctxt, op);
4042 4043
		break;
	case OpImmUByte:
4044
		rc = decode_imm(ctxt, op, 1, false);
4045 4046
		break;
	case OpMem:
4047
		ctxt->memop.bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
4048 4049 4050 4051
	mem_common:
		*op = ctxt->memop;
		ctxt->memopp = op;
		if ((ctxt->d & BitOp) && op == &ctxt->dst)
4052 4053 4054
			fetch_bit_operand(ctxt);
		op->orig_val = op->val;
		break;
4055 4056 4057
	case OpMem64:
		ctxt->memop.bytes = 8;
		goto mem_common;
4058 4059 4060
	case OpAcc:
		op->type = OP_REG;
		op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
4061
		op->addr.reg = reg_rmw(ctxt, VCPU_REGS_RAX);
4062 4063 4064
		fetch_register_operand(op);
		op->orig_val = op->val;
		break;
4065 4066 4067 4068 4069 4070 4071 4072 4073 4074 4075 4076 4077 4078 4079 4080 4081 4082
	case OpAccLo:
		op->type = OP_REG;
		op->bytes = (ctxt->d & ByteOp) ? 2 : ctxt->op_bytes;
		op->addr.reg = reg_rmw(ctxt, VCPU_REGS_RAX);
		fetch_register_operand(op);
		op->orig_val = op->val;
		break;
	case OpAccHi:
		if (ctxt->d & ByteOp) {
			op->type = OP_NONE;
			break;
		}
		op->type = OP_REG;
		op->bytes = ctxt->op_bytes;
		op->addr.reg = reg_rmw(ctxt, VCPU_REGS_RDX);
		fetch_register_operand(op);
		op->orig_val = op->val;
		break;
4083 4084 4085 4086
	case OpDI:
		op->type = OP_MEM;
		op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
		op->addr.mem.ea =
4087
			register_address(ctxt, reg_read(ctxt, VCPU_REGS_RDI));
4088 4089
		op->addr.mem.seg = VCPU_SREG_ES;
		op->val = 0;
4090
		op->count = 1;
4091 4092 4093 4094
		break;
	case OpDX:
		op->type = OP_REG;
		op->bytes = 2;
4095
		op->addr.reg = reg_rmw(ctxt, VCPU_REGS_RDX);
4096 4097
		fetch_register_operand(op);
		break;
4098 4099
	case OpCL:
		op->bytes = 1;
4100
		op->val = reg_read(ctxt, VCPU_REGS_RCX) & 0xff;
4101 4102 4103 4104 4105 4106 4107 4108 4109 4110 4111
		break;
	case OpImmByte:
		rc = decode_imm(ctxt, op, 1, true);
		break;
	case OpOne:
		op->bytes = 1;
		op->val = 1;
		break;
	case OpImm:
		rc = decode_imm(ctxt, op, imm_size(ctxt), true);
		break;
4112 4113 4114
	case OpImm64:
		rc = decode_imm(ctxt, op, ctxt->op_bytes, true);
		break;
4115 4116
	case OpMem8:
		ctxt->memop.bytes = 1;
4117
		if (ctxt->memop.type == OP_REG) {
4118 4119
			ctxt->memop.addr.reg = decode_register(ctxt,
					ctxt->modrm_rm, true);
4120 4121
			fetch_register_operand(&ctxt->memop);
		}
4122
		goto mem_common;
4123 4124 4125 4126 4127 4128 4129 4130 4131 4132 4133 4134 4135 4136 4137 4138
	case OpMem16:
		ctxt->memop.bytes = 2;
		goto mem_common;
	case OpMem32:
		ctxt->memop.bytes = 4;
		goto mem_common;
	case OpImmU16:
		rc = decode_imm(ctxt, op, 2, false);
		break;
	case OpImmU:
		rc = decode_imm(ctxt, op, imm_size(ctxt), false);
		break;
	case OpSI:
		op->type = OP_MEM;
		op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
		op->addr.mem.ea =
4139
			register_address(ctxt, reg_read(ctxt, VCPU_REGS_RSI));
4140 4141
		op->addr.mem.seg = seg_override(ctxt);
		op->val = 0;
4142
		op->count = 1;
4143
		break;
P
Paolo Bonzini 已提交
4144 4145 4146 4147 4148 4149 4150 4151 4152 4153
	case OpXLat:
		op->type = OP_MEM;
		op->bytes = (ctxt->d & ByteOp) ? 1 : ctxt->op_bytes;
		op->addr.mem.ea =
			register_address(ctxt,
				reg_read(ctxt, VCPU_REGS_RBX) +
				(reg_read(ctxt, VCPU_REGS_RAX) & 0xff));
		op->addr.mem.seg = seg_override(ctxt);
		op->val = 0;
		break;
4154 4155 4156 4157 4158 4159 4160 4161 4162
	case OpImmFAddr:
		op->type = OP_IMM;
		op->addr.mem.ea = ctxt->_eip;
		op->bytes = ctxt->op_bytes + 2;
		insn_fetch_arr(op->valptr, op->bytes, ctxt);
		break;
	case OpMemFAddr:
		ctxt->memop.bytes = ctxt->op_bytes + 2;
		goto mem_common;
4163 4164 4165 4166 4167 4168 4169 4170 4171 4172 4173 4174 4175 4176 4177 4178 4179 4180
	case OpES:
		op->val = VCPU_SREG_ES;
		break;
	case OpCS:
		op->val = VCPU_SREG_CS;
		break;
	case OpSS:
		op->val = VCPU_SREG_SS;
		break;
	case OpDS:
		op->val = VCPU_SREG_DS;
		break;
	case OpFS:
		op->val = VCPU_SREG_FS;
		break;
	case OpGS:
		op->val = VCPU_SREG_GS;
		break;
4181 4182 4183 4184 4185 4186 4187 4188 4189 4190 4191
	case OpImplicit:
		/* Special instructions do their own operand decoding. */
	default:
		op->type = OP_NONE; /* Disable writeback. */
		break;
	}

done:
	return rc;
}

4192
int x86_decode_insn(struct x86_emulate_ctxt *ctxt, void *insn, int insn_len)
4193 4194 4195
{
	int rc = X86EMUL_CONTINUE;
	int mode = ctxt->mode;
4196
	int def_op_bytes, def_ad_bytes, goffset, simd_prefix;
4197
	bool op_prefix = false;
4198
	struct opcode opcode;
4199

4200 4201
	ctxt->memop.type = OP_NONE;
	ctxt->memopp = NULL;
4202 4203 4204
	ctxt->_eip = ctxt->eip;
	ctxt->fetch.start = ctxt->_eip;
	ctxt->fetch.end = ctxt->fetch.start + insn_len;
B
Borislav Petkov 已提交
4205
	ctxt->opcode_len = 1;
4206
	if (insn_len > 0)
4207
		memcpy(ctxt->fetch.data, insn, insn_len);
4208 4209 4210 4211 4212 4213 4214 4215 4216 4217 4218 4219 4220 4221 4222 4223 4224

	switch (mode) {
	case X86EMUL_MODE_REAL:
	case X86EMUL_MODE_VM86:
	case X86EMUL_MODE_PROT16:
		def_op_bytes = def_ad_bytes = 2;
		break;
	case X86EMUL_MODE_PROT32:
		def_op_bytes = def_ad_bytes = 4;
		break;
#ifdef CONFIG_X86_64
	case X86EMUL_MODE_PROT64:
		def_op_bytes = 4;
		def_ad_bytes = 8;
		break;
#endif
	default:
4225
		return EMULATION_FAILED;
4226 4227
	}

4228 4229
	ctxt->op_bytes = def_op_bytes;
	ctxt->ad_bytes = def_ad_bytes;
4230 4231 4232

	/* Legacy prefixes. */
	for (;;) {
4233
		switch (ctxt->b = insn_fetch(u8, ctxt)) {
4234
		case 0x66:	/* operand-size override */
4235
			op_prefix = true;
4236
			/* switch between 2/4 bytes */
4237
			ctxt->op_bytes = def_op_bytes ^ 6;
4238 4239 4240 4241
			break;
		case 0x67:	/* address-size override */
			if (mode == X86EMUL_MODE_PROT64)
				/* switch between 4/8 bytes */
4242
				ctxt->ad_bytes = def_ad_bytes ^ 12;
4243 4244
			else
				/* switch between 2/4 bytes */
4245
				ctxt->ad_bytes = def_ad_bytes ^ 6;
4246 4247 4248 4249 4250
			break;
		case 0x26:	/* ES override */
		case 0x2e:	/* CS override */
		case 0x36:	/* SS override */
		case 0x3e:	/* DS override */
4251
			set_seg_override(ctxt, (ctxt->b >> 3) & 3);
4252 4253 4254
			break;
		case 0x64:	/* FS override */
		case 0x65:	/* GS override */
4255
			set_seg_override(ctxt, ctxt->b & 7);
4256 4257 4258 4259
			break;
		case 0x40 ... 0x4f: /* REX */
			if (mode != X86EMUL_MODE_PROT64)
				goto done_prefixes;
4260
			ctxt->rex_prefix = ctxt->b;
4261 4262
			continue;
		case 0xf0:	/* LOCK */
4263
			ctxt->lock_prefix = 1;
4264 4265 4266
			break;
		case 0xf2:	/* REPNE/REPNZ */
		case 0xf3:	/* REP/REPE/REPZ */
4267
			ctxt->rep_prefix = ctxt->b;
4268 4269 4270 4271 4272 4273 4274
			break;
		default:
			goto done_prefixes;
		}

		/* Any legacy prefix after a REX prefix nullifies its effect. */

4275
		ctxt->rex_prefix = 0;
4276 4277 4278 4279 4280
	}

done_prefixes:

	/* REX prefix. */
4281 4282
	if (ctxt->rex_prefix & 8)
		ctxt->op_bytes = 8;	/* REX.W */
4283 4284

	/* Opcode byte(s). */
4285
	opcode = opcode_table[ctxt->b];
4286
	/* Two-byte opcode? */
4287
	if (ctxt->b == 0x0f) {
B
Borislav Petkov 已提交
4288
		ctxt->opcode_len = 2;
4289
		ctxt->b = insn_fetch(u8, ctxt);
4290
		opcode = twobyte_table[ctxt->b];
4291 4292 4293 4294 4295 4296 4297

		/* 0F_38 opcode map */
		if (ctxt->b == 0x38) {
			ctxt->opcode_len = 3;
			ctxt->b = insn_fetch(u8, ctxt);
			opcode = opcode_map_0f_38[ctxt->b];
		}
4298
	}
4299
	ctxt->d = opcode.flags;
4300

4301 4302 4303
	if (ctxt->d & ModRM)
		ctxt->modrm = insn_fetch(u8, ctxt);

4304 4305
	while (ctxt->d & GroupMask) {
		switch (ctxt->d & GroupMask) {
4306
		case Group:
4307
			goffset = (ctxt->modrm >> 3) & 7;
4308 4309 4310
			opcode = opcode.u.group[goffset];
			break;
		case GroupDual:
4311 4312
			goffset = (ctxt->modrm >> 3) & 7;
			if ((ctxt->modrm >> 6) == 3)
4313 4314 4315 4316 4317
				opcode = opcode.u.gdual->mod3[goffset];
			else
				opcode = opcode.u.gdual->mod012[goffset];
			break;
		case RMExt:
4318
			goffset = ctxt->modrm & 7;
4319
			opcode = opcode.u.group[goffset];
4320 4321
			break;
		case Prefix:
4322
			if (ctxt->rep_prefix && op_prefix)
4323
				return EMULATION_FAILED;
4324
			simd_prefix = op_prefix ? 0x66 : ctxt->rep_prefix;
4325 4326 4327 4328 4329 4330 4331
			switch (simd_prefix) {
			case 0x00: opcode = opcode.u.gprefix->pfx_no; break;
			case 0x66: opcode = opcode.u.gprefix->pfx_66; break;
			case 0xf2: opcode = opcode.u.gprefix->pfx_f2; break;
			case 0xf3: opcode = opcode.u.gprefix->pfx_f3; break;
			}
			break;
4332 4333 4334 4335 4336 4337
		case Escape:
			if (ctxt->modrm > 0xbf)
				opcode = opcode.u.esc->high[ctxt->modrm - 0xc0];
			else
				opcode = opcode.u.esc->op[(ctxt->modrm >> 3) & 7];
			break;
4338
		default:
4339
			return EMULATION_FAILED;
4340
		}
4341

4342
		ctxt->d &= ~(u64)GroupMask;
4343
		ctxt->d |= opcode.flags;
4344 4345
	}

4346 4347 4348
	ctxt->execute = opcode.u.execute;
	ctxt->check_perm = opcode.check_perm;
	ctxt->intercept = opcode.intercept;
4349 4350

	/* Unrecognised? */
4351
	if (ctxt->d == 0 || (ctxt->d & NotImpl))
4352
		return EMULATION_FAILED;
4353

4354
	if (!(ctxt->d & EmulateOnUD) && ctxt->ud)
4355
		return EMULATION_FAILED;
4356

4357 4358
	if (mode == X86EMUL_MODE_PROT64 && (ctxt->d & Stack))
		ctxt->op_bytes = 8;
4359

4360
	if (ctxt->d & Op3264) {
4361
		if (mode == X86EMUL_MODE_PROT64)
4362
			ctxt->op_bytes = 8;
4363
		else
4364
			ctxt->op_bytes = 4;
4365 4366
	}

4367 4368
	if (ctxt->d & Sse)
		ctxt->op_bytes = 16;
A
Avi Kivity 已提交
4369 4370
	else if (ctxt->d & Mmx)
		ctxt->op_bytes = 8;
A
Avi Kivity 已提交
4371

4372
	/* ModRM and SIB bytes. */
4373
	if (ctxt->d & ModRM) {
4374
		rc = decode_modrm(ctxt, &ctxt->memop);
4375 4376 4377
		if (!ctxt->has_seg_override)
			set_seg_override(ctxt, ctxt->modrm_seg);
	} else if (ctxt->d & MemAbs)
4378
		rc = decode_abs(ctxt, &ctxt->memop);
4379 4380 4381
	if (rc != X86EMUL_CONTINUE)
		goto done;

4382 4383
	if (!ctxt->has_seg_override)
		set_seg_override(ctxt, VCPU_SREG_DS);
4384

4385
	ctxt->memop.addr.mem.seg = seg_override(ctxt);
4386

4387 4388
	if (ctxt->memop.type == OP_MEM && ctxt->ad_bytes != 8)
		ctxt->memop.addr.mem.ea = (u32)ctxt->memop.addr.mem.ea;
4389 4390 4391 4392 4393

	/*
	 * Decode and fetch the source operand: register, memory
	 * or immediate.
	 */
4394
	rc = decode_operand(ctxt, &ctxt->src, (ctxt->d >> SrcShift) & OpMask);
4395 4396 4397
	if (rc != X86EMUL_CONTINUE)
		goto done;

4398 4399 4400 4401
	/*
	 * Decode and fetch the second source operand: register, memory
	 * or immediate.
	 */
4402
	rc = decode_operand(ctxt, &ctxt->src2, (ctxt->d >> Src2Shift) & OpMask);
4403 4404 4405
	if (rc != X86EMUL_CONTINUE)
		goto done;

4406
	/* Decode and fetch the destination operand: register or memory. */
4407
	rc = decode_operand(ctxt, &ctxt->dst, (ctxt->d >> DstShift) & OpMask);
4408 4409

done:
4410 4411
	if (ctxt->memopp && ctxt->memopp->type == OP_MEM && ctxt->rip_relative)
		ctxt->memopp->addr.mem.ea += ctxt->_eip;
4412

4413
	return (rc != X86EMUL_CONTINUE) ? EMULATION_FAILED : EMULATION_OK;
4414 4415
}

4416 4417 4418 4419 4420
bool x86_page_table_writing_insn(struct x86_emulate_ctxt *ctxt)
{
	return ctxt->d & PageTable;
}

4421 4422 4423 4424 4425 4426 4427 4428 4429
static bool string_insn_completed(struct x86_emulate_ctxt *ctxt)
{
	/* The second termination condition only applies for REPE
	 * and REPNE. Test if the repeat string operation prefix is
	 * REPE/REPZ or REPNE/REPNZ and if it's the case it tests the
	 * corresponding termination condition according to:
	 * 	- if REPE/REPZ and ZF = 0 then done
	 * 	- if REPNE/REPNZ and ZF = 1 then done
	 */
4430 4431 4432
	if (((ctxt->b == 0xa6) || (ctxt->b == 0xa7) ||
	     (ctxt->b == 0xae) || (ctxt->b == 0xaf))
	    && (((ctxt->rep_prefix == REPE_PREFIX) &&
4433
		 ((ctxt->eflags & EFLG_ZF) == 0))
4434
		|| ((ctxt->rep_prefix == REPNE_PREFIX) &&
4435 4436 4437 4438 4439 4440
		    ((ctxt->eflags & EFLG_ZF) == EFLG_ZF))))
		return true;

	return false;
}

A
Avi Kivity 已提交
4441 4442 4443 4444 4445 4446 4447 4448 4449 4450 4451 4452 4453
static int flush_pending_x87_faults(struct x86_emulate_ctxt *ctxt)
{
	bool fault = false;

	ctxt->ops->get_fpu(ctxt);
	asm volatile("1: fwait \n\t"
		     "2: \n\t"
		     ".pushsection .fixup,\"ax\" \n\t"
		     "3: \n\t"
		     "movb $1, %[fault] \n\t"
		     "jmp 2b \n\t"
		     ".popsection \n\t"
		     _ASM_EXTABLE(1b, 3b)
4454
		     : [fault]"+qm"(fault));
A
Avi Kivity 已提交
4455 4456 4457 4458 4459 4460 4461 4462 4463 4464 4465 4466 4467 4468 4469
	ctxt->ops->put_fpu(ctxt);

	if (unlikely(fault))
		return emulate_exception(ctxt, MF_VECTOR, 0, false);

	return X86EMUL_CONTINUE;
}

static void fetch_possible_mmx_operand(struct x86_emulate_ctxt *ctxt,
				       struct operand *op)
{
	if (op->type == OP_MM)
		read_mmx_reg(ctxt, &op->mm_val, op->addr.mm);
}

4470 4471 4472
static int fastop(struct x86_emulate_ctxt *ctxt, void (*fop)(struct fastop *))
{
	ulong flags = (ctxt->eflags & EFLAGS_MASK) | X86_EFLAGS_IF;
4473 4474
	if (!(ctxt->d & ByteOp))
		fop += __ffs(ctxt->dst.bytes) * FASTOP_SIZE;
4475
	asm("push %[flags]; popf; call *%[fastop]; pushf; pop %[flags]\n"
4476 4477 4478
	    : "+a"(ctxt->dst.val), "+d"(ctxt->src.val), [flags]"+D"(flags),
	      [fastop]"+S"(fop)
	    : "c"(ctxt->src2.val));
4479
	ctxt->eflags = (ctxt->eflags & ~EFLAGS_MASK) | (flags & EFLAGS_MASK);
4480 4481
	if (!fop) /* exception is returned in fop variable */
		return emulate_de(ctxt);
4482 4483
	return X86EMUL_CONTINUE;
}
4484

4485
int x86_emulate_insn(struct x86_emulate_ctxt *ctxt)
4486
{
4487
	const struct x86_emulate_ops *ops = ctxt->ops;
4488
	int rc = X86EMUL_CONTINUE;
4489
	int saved_dst_type = ctxt->dst.type;
4490

4491
	ctxt->mem_read.pos = 0;
4492

4493 4494
	if ((ctxt->mode == X86EMUL_MODE_PROT64 && (ctxt->d & No64)) ||
			(ctxt->d & Undefined)) {
4495
		rc = emulate_ud(ctxt);
4496 4497 4498
		goto done;
	}

4499
	/* LOCK prefix is allowed only with some instructions */
4500
	if (ctxt->lock_prefix && (!(ctxt->d & Lock) || ctxt->dst.type != OP_MEM)) {
4501
		rc = emulate_ud(ctxt);
4502 4503 4504
		goto done;
	}

4505
	if ((ctxt->d & SrcMask) == SrcMemFAddr && ctxt->src.type != OP_MEM) {
4506
		rc = emulate_ud(ctxt);
4507 4508 4509
		goto done;
	}

A
Avi Kivity 已提交
4510 4511
	if (((ctxt->d & (Sse|Mmx)) && ((ops->get_cr(ctxt, 0) & X86_CR0_EM)))
	    || ((ctxt->d & Sse) && !(ops->get_cr(ctxt, 4) & X86_CR4_OSFXSR))) {
A
Avi Kivity 已提交
4512 4513 4514 4515
		rc = emulate_ud(ctxt);
		goto done;
	}

A
Avi Kivity 已提交
4516
	if ((ctxt->d & (Sse|Mmx)) && (ops->get_cr(ctxt, 0) & X86_CR0_TS)) {
A
Avi Kivity 已提交
4517 4518 4519 4520
		rc = emulate_nm(ctxt);
		goto done;
	}

A
Avi Kivity 已提交
4521 4522 4523 4524 4525 4526 4527 4528 4529 4530 4531 4532 4533 4534
	if (ctxt->d & Mmx) {
		rc = flush_pending_x87_faults(ctxt);
		if (rc != X86EMUL_CONTINUE)
			goto done;
		/*
		 * Now that we know the fpu is exception safe, we can fetch
		 * operands from it.
		 */
		fetch_possible_mmx_operand(ctxt, &ctxt->src);
		fetch_possible_mmx_operand(ctxt, &ctxt->src2);
		if (!(ctxt->d & Mov))
			fetch_possible_mmx_operand(ctxt, &ctxt->dst);
	}

4535 4536
	if (unlikely(ctxt->guest_mode) && ctxt->intercept) {
		rc = emulator_check_intercept(ctxt, ctxt->intercept,
4537
					      X86_ICPT_PRE_EXCEPT);
4538 4539 4540 4541
		if (rc != X86EMUL_CONTINUE)
			goto done;
	}

4542
	/* Privileged instruction can be executed only in CPL=0 */
4543
	if ((ctxt->d & Priv) && ops->cpl(ctxt)) {
4544
		rc = emulate_gp(ctxt, 0);
4545 4546 4547
		goto done;
	}

4548
	/* Instruction can only be executed in protected mode */
4549
	if ((ctxt->d & Prot) && ctxt->mode < X86EMUL_MODE_PROT16) {
4550 4551 4552 4553
		rc = emulate_ud(ctxt);
		goto done;
	}

4554
	/* Do instruction specific permission checks */
4555 4556
	if (ctxt->check_perm) {
		rc = ctxt->check_perm(ctxt);
4557 4558 4559 4560
		if (rc != X86EMUL_CONTINUE)
			goto done;
	}

4561 4562
	if (unlikely(ctxt->guest_mode) && ctxt->intercept) {
		rc = emulator_check_intercept(ctxt, ctxt->intercept,
4563
					      X86_ICPT_POST_EXCEPT);
4564 4565 4566 4567
		if (rc != X86EMUL_CONTINUE)
			goto done;
	}

4568
	if (ctxt->rep_prefix && (ctxt->d & String)) {
4569
		/* All REP prefixes have the same first termination condition */
4570
		if (address_mask(ctxt, reg_read(ctxt, VCPU_REGS_RCX)) == 0) {
4571
			ctxt->eip = ctxt->_eip;
4572 4573 4574 4575
			goto done;
		}
	}

4576 4577 4578
	if ((ctxt->src.type == OP_MEM) && !(ctxt->d & NoAccess)) {
		rc = segmented_read(ctxt, ctxt->src.addr.mem,
				    ctxt->src.valptr, ctxt->src.bytes);
4579
		if (rc != X86EMUL_CONTINUE)
4580
			goto done;
4581
		ctxt->src.orig_val64 = ctxt->src.val64;
4582 4583
	}

4584 4585 4586
	if (ctxt->src2.type == OP_MEM) {
		rc = segmented_read(ctxt, ctxt->src2.addr.mem,
				    &ctxt->src2.val, ctxt->src2.bytes);
4587 4588 4589 4590
		if (rc != X86EMUL_CONTINUE)
			goto done;
	}

4591
	if ((ctxt->d & DstMask) == ImplicitOps)
4592 4593 4594
		goto special_insn;


4595
	if ((ctxt->dst.type == OP_MEM) && !(ctxt->d & Mov)) {
4596
		/* optimisation - avoid slow emulated read if Mov */
4597 4598
		rc = segmented_read(ctxt, ctxt->dst.addr.mem,
				   &ctxt->dst.val, ctxt->dst.bytes);
4599 4600
		if (rc != X86EMUL_CONTINUE)
			goto done;
4601
	}
4602
	ctxt->dst.orig_val = ctxt->dst.val;
4603

4604 4605
special_insn:

4606 4607
	if (unlikely(ctxt->guest_mode) && ctxt->intercept) {
		rc = emulator_check_intercept(ctxt, ctxt->intercept,
4608
					      X86_ICPT_POST_MEMACCESS);
4609 4610 4611 4612
		if (rc != X86EMUL_CONTINUE)
			goto done;
	}

4613
	if (ctxt->execute) {
4614 4615 4616 4617 4618 4619 4620
		if (ctxt->d & Fastop) {
			void (*fop)(struct fastop *) = (void *)ctxt->execute;
			rc = fastop(ctxt, fop);
			if (rc != X86EMUL_CONTINUE)
				goto done;
			goto writeback;
		}
4621
		rc = ctxt->execute(ctxt);
4622 4623 4624 4625 4626
		if (rc != X86EMUL_CONTINUE)
			goto done;
		goto writeback;
	}

B
Borislav Petkov 已提交
4627
	if (ctxt->opcode_len == 2)
A
Avi Kivity 已提交
4628
		goto twobyte_insn;
4629 4630
	else if (ctxt->opcode_len == 3)
		goto threebyte_insn;
A
Avi Kivity 已提交
4631

4632
	switch (ctxt->b) {
A
Avi Kivity 已提交
4633
	case 0x63:		/* movsxd */
4634
		if (ctxt->mode != X86EMUL_MODE_PROT64)
A
Avi Kivity 已提交
4635
			goto cannot_emulate;
4636
		ctxt->dst.val = (s32) ctxt->src.val;
A
Avi Kivity 已提交
4637
		break;
4638
	case 0x70 ... 0x7f: /* jcc (short) */
4639 4640
		if (test_cc(ctxt->b, ctxt->eflags))
			jmp_rel(ctxt, ctxt->src.val);
4641
		break;
N
Nitin A Kamble 已提交
4642
	case 0x8d: /* lea r16/r32, m */
4643
		ctxt->dst.val = ctxt->src.addr.mem.ea;
N
Nitin A Kamble 已提交
4644
		break;
4645
	case 0x90 ... 0x97: /* nop / xchg reg, rax */
4646
		if (ctxt->dst.addr.reg == reg_rmw(ctxt, VCPU_REGS_RAX))
4647
			break;
4648 4649
		rc = em_xchg(ctxt);
		break;
4650
	case 0x98: /* cbw/cwde/cdqe */
4651 4652 4653 4654
		switch (ctxt->op_bytes) {
		case 2: ctxt->dst.val = (s8)ctxt->dst.val; break;
		case 4: ctxt->dst.val = (s16)ctxt->dst.val; break;
		case 8: ctxt->dst.val = (s32)ctxt->dst.val; break;
4655 4656
		}
		break;
4657
	case 0xcc:		/* int3 */
4658 4659
		rc = emulate_int(ctxt, 3);
		break;
4660
	case 0xcd:		/* int n */
4661
		rc = emulate_int(ctxt, ctxt->src.val);
4662 4663
		break;
	case 0xce:		/* into */
4664 4665
		if (ctxt->eflags & EFLG_OF)
			rc = emulate_int(ctxt, 4);
4666
		break;
4667
	case 0xe9: /* jmp rel */
4668
	case 0xeb: /* jmp rel short */
4669 4670
		jmp_rel(ctxt, ctxt->src.val);
		ctxt->dst.type = OP_NONE; /* Disable writeback. */
4671
		break;
4672
	case 0xf4:              /* hlt */
4673
		ctxt->ops->halt(ctxt);
4674
		break;
4675 4676 4677 4678 4679 4680 4681
	case 0xf5:	/* cmc */
		/* complement carry flag from eflags reg */
		ctxt->eflags ^= EFLG_CF;
		break;
	case 0xf8: /* clc */
		ctxt->eflags &= ~EFLG_CF;
		break;
4682 4683 4684
	case 0xf9: /* stc */
		ctxt->eflags |= EFLG_CF;
		break;
4685 4686 4687 4688 4689 4690
	case 0xfc: /* cld */
		ctxt->eflags &= ~EFLG_DF;
		break;
	case 0xfd: /* std */
		ctxt->eflags |= EFLG_DF;
		break;
4691 4692
	default:
		goto cannot_emulate;
A
Avi Kivity 已提交
4693
	}
4694

4695 4696 4697
	if (rc != X86EMUL_CONTINUE)
		goto done;

4698
writeback:
4699 4700 4701 4702 4703 4704 4705 4706 4707 4708 4709
	if (!(ctxt->d & NoWrite)) {
		rc = writeback(ctxt, &ctxt->dst);
		if (rc != X86EMUL_CONTINUE)
			goto done;
	}
	if (ctxt->d & SrcWrite) {
		BUG_ON(ctxt->src.type == OP_MEM || ctxt->src.type == OP_MEM_STR);
		rc = writeback(ctxt, &ctxt->src);
		if (rc != X86EMUL_CONTINUE)
			goto done;
	}
4710

4711 4712 4713 4714
	/*
	 * restore dst type in case the decoding will be reused
	 * (happens for string instruction )
	 */
4715
	ctxt->dst.type = saved_dst_type;
4716

4717
	if ((ctxt->d & SrcMask) == SrcSI)
4718
		string_addr_inc(ctxt, VCPU_REGS_RSI, &ctxt->src);
4719

4720
	if ((ctxt->d & DstMask) == DstDI)
4721
		string_addr_inc(ctxt, VCPU_REGS_RDI, &ctxt->dst);
4722

4723
	if (ctxt->rep_prefix && (ctxt->d & String)) {
4724
		unsigned int count;
4725
		struct read_cache *r = &ctxt->io_read;
4726 4727 4728 4729 4730 4731
		if ((ctxt->d & SrcMask) == SrcSI)
			count = ctxt->src.count;
		else
			count = ctxt->dst.count;
		register_address_increment(ctxt, reg_rmw(ctxt, VCPU_REGS_RCX),
				-count);
4732

4733 4734 4735 4736 4737
		if (!string_insn_completed(ctxt)) {
			/*
			 * Re-enter guest when pio read ahead buffer is empty
			 * or, if it is not used, after each 1024 iteration.
			 */
4738
			if ((r->end != 0 || reg_read(ctxt, VCPU_REGS_RCX) & 0x3ff) &&
4739 4740 4741 4742 4743 4744
			    (r->end == 0 || r->end != r->pos)) {
				/*
				 * Reset read cache. Usually happens before
				 * decode, but since instruction is restarted
				 * we have to do it here.
				 */
4745
				ctxt->mem_read.end = 0;
4746
				writeback_registers(ctxt);
4747 4748 4749
				return EMULATION_RESTART;
			}
			goto done; /* skip rip writeback */
4750
		}
4751
	}
4752

4753
	ctxt->eip = ctxt->_eip;
4754 4755

done:
4756 4757
	if (rc == X86EMUL_PROPAGATE_FAULT)
		ctxt->have_exception = true;
4758 4759 4760
	if (rc == X86EMUL_INTERCEPTED)
		return EMULATION_INTERCEPTED;

4761 4762 4763
	if (rc == X86EMUL_CONTINUE)
		writeback_registers(ctxt);

4764
	return (rc == X86EMUL_UNHANDLEABLE) ? EMULATION_FAILED : EMULATION_OK;
A
Avi Kivity 已提交
4765 4766

twobyte_insn:
4767
	switch (ctxt->b) {
4768
	case 0x09:		/* wbinvd */
4769
		(ctxt->ops->wbinvd)(ctxt);
4770 4771
		break;
	case 0x08:		/* invd */
4772 4773
	case 0x0d:		/* GrpP (prefetch) */
	case 0x18:		/* Grp16 (prefetch/nop) */
P
Paolo Bonzini 已提交
4774
	case 0x1f:		/* nop */
4775 4776
		break;
	case 0x20: /* mov cr, reg */
4777
		ctxt->dst.val = ops->get_cr(ctxt, ctxt->modrm_reg);
4778
		break;
A
Avi Kivity 已提交
4779
	case 0x21: /* mov from dr to reg */
4780
		ops->get_dr(ctxt, ctxt->modrm_reg, &ctxt->dst.val);
A
Avi Kivity 已提交
4781 4782
		break;
	case 0x40 ... 0x4f:	/* cmov */
4783 4784 4785
		ctxt->dst.val = ctxt->dst.orig_val = ctxt->src.val;
		if (!test_cc(ctxt->b, ctxt->eflags))
			ctxt->dst.type = OP_NONE; /* no writeback */
A
Avi Kivity 已提交
4786
		break;
4787
	case 0x80 ... 0x8f: /* jnz rel, etc*/
4788 4789
		if (test_cc(ctxt->b, ctxt->eflags))
			jmp_rel(ctxt, ctxt->src.val);
4790
		break;
4791
	case 0x90 ... 0x9f:     /* setcc r/m8 */
4792
		ctxt->dst.val = test_cc(ctxt->b, ctxt->eflags);
4793
		break;
4794 4795
	case 0xae:              /* clflush */
		break;
A
Avi Kivity 已提交
4796
	case 0xb6 ... 0xb7:	/* movzx */
4797
		ctxt->dst.bytes = ctxt->op_bytes;
4798
		ctxt->dst.val = (ctxt->src.bytes == 1) ? (u8) ctxt->src.val
4799
						       : (u16) ctxt->src.val;
A
Avi Kivity 已提交
4800 4801
		break;
	case 0xbe ... 0xbf:	/* movsx */
4802
		ctxt->dst.bytes = ctxt->op_bytes;
4803
		ctxt->dst.val = (ctxt->src.bytes == 1) ? (s8) ctxt->src.val :
4804
							(s16) ctxt->src.val;
A
Avi Kivity 已提交
4805
		break;
4806
	case 0xc3:		/* movnti */
4807 4808 4809
		ctxt->dst.bytes = ctxt->op_bytes;
		ctxt->dst.val = (ctxt->op_bytes == 4) ? (u32) ctxt->src.val :
							(u64) ctxt->src.val;
4810
		break;
4811 4812
	default:
		goto cannot_emulate;
A
Avi Kivity 已提交
4813
	}
4814

4815 4816
threebyte_insn:

4817 4818 4819
	if (rc != X86EMUL_CONTINUE)
		goto done;

A
Avi Kivity 已提交
4820 4821 4822
	goto writeback;

cannot_emulate:
4823
	return EMULATION_FAILED;
A
Avi Kivity 已提交
4824
}
4825 4826 4827 4828 4829 4830 4831 4832 4833 4834

void emulator_invalidate_register_cache(struct x86_emulate_ctxt *ctxt)
{
	invalidate_registers(ctxt);
}

void emulator_writeback_register_cache(struct x86_emulate_ctxt *ctxt)
{
	writeback_registers(ctxt);
}