未验证 提交 f98e4de8 编写于 作者: L ljw 提交者: GitHub

Merge pull request #258 from RISCVERS/update-mill

build.sc: do not use source of chisel3 and firrtl
[submodule "chisel3"]
path = chisel3
url = https://github.com/ucb-bar/chisel3
[submodule "firrtl"]
path = firrtl
url = https://github.com/ucb-bar/firrtl
[submodule "treadle"]
path = treadle
url = https://github.com/ucb-bar/treadle
[submodule "hardfloat"]
path = hardfloat
url = https://github.com/ucb-bar/berkeley-hardfloat
[submodule "rocket-chip"]
path = rocket-chip
url = https://github.com/chipsalliance/rocket-chip.git
......
import os.Path
import mill._
import mill.modules.Util
import scalalib._
import $ivy.`com.lihaoyi::mill-contrib-buildinfo:$MILL_VERSION`
import $ivy.`com.lihaoyi::mill-contrib-bsp:$MILL_VERSION`
import mill.contrib.buildinfo.BuildInfo
import $file.chisel3.build
import $file.firrtl.build
import $file.treadle.build
import $file.chiseltest.build
import $file.`berkeley-hardfloat`.build
import $file.`rocket-chip`.common
import $file.`api-config-chipsalliance`.`build-rules`.mill.build
val sv = "2.12.12"
object myfirrtl extends firrtl.build.firrtlCrossModule(sv) {
override def millSourcePath = os.pwd / "firrtl"
import scalalib._
import coursier.maven.MavenRepository
object CustomZincWorkerModule extends ZincWorkerModule {
def repositories() = super.repositories ++ Seq(
MavenRepository("https://maven.aliyun.com/repository/public"),
MavenRepository("https://maven.aliyun.com/repository/apache-snapshots")
)
}
object mychisel3 extends chisel3.build.chisel3CrossModule(sv) {
override def millSourcePath = os.pwd / "chisel3"
trait CommonModule extends ScalaModule {
override def scalaVersion = "2.12.10"
def firrtlModule: Option[PublishModule] = Some(myfirrtl)
override def scalacOptions = Seq("-Xsource:2.11")
def treadleModule: Option[PublishModule] = Some(mytreadle)
}
override def zincWorker = CustomZincWorkerModule
object mytreadle extends treadle.build.treadleCrossModule(sv) {
override def millSourcePath = os.pwd / "treadle"
private val macroParadise = ivy"org.scalamacros:::paradise:2.1.0"
def firrtlModule: Option[PublishModule] = Some(myfirrtl)
}
override def compileIvyDeps = Agg(macroParadise)
object mychiseltest extends chiseltest.build.chiseltestCrossModule(sv) {
override def scalaVersion = sv
override def millSourcePath = os.pwd / "chiseltest"
def chisel3Module: Option[PublishModule] = Some(mychisel3)
def treadleModule: Option[PublishModule] = Some(mytreadle)
override def scalacPluginIvyDeps = Agg(macroParadise)
}
object myhardfloat extends `berkeley-hardfloat`.build.hardfloat {
override def scalaVersion = sv
val chisel = Agg(
ivy"edu.berkeley.cs::chisel3:3.4.0"
)
def chisel3Module: Option[PublishModule] = Some(mychisel3)
object `api-config-chipsalliance` extends CommonModule {
override def millSourcePath = super.millSourcePath / "design" / "craft"
}
object myconfig extends `api-config-chipsalliance`.`build-rules`.mill.build.config with PublishModule {
override def scalaVersion = sv
override def millSourcePath = os.pwd / "api-config-chipsalliance" / "design" / "craft"
override def pomSettings = T {
myrocketchip.pomSettings()
}
override def publishVersion = T {
myrocketchip.publishVersion()
}
object hardfloat extends SbtModule with CommonModule {
override def millSourcePath = os.pwd / "berkeley-hardfloat"
override def ivyDeps = super.ivyDeps() ++ chisel
}
object myrocketchip extends `rocket-chip`.common.CommonRocketChip {
override def scalaVersion = sv
object `rocket-chip` extends SbtModule with CommonModule {
override def millSourcePath = os.pwd / "rocket-chip"
override def ivyDeps = super.ivyDeps() ++ Agg(
ivy"${scalaOrganization()}:scala-reflect:${scalaVersion()}",
ivy"org.json4s::json4s-jackson:3.6.1"
) ++ chisel
def chisel3Module: Option[PublishModule] = Some(mychisel3)
object macros extends SbtModule with CommonModule
def hardfloatModule: PublishModule = myhardfloat
override def moduleDeps = super.moduleDeps ++ Seq(
`api-config-chipsalliance`, macros, hardfloat
)
def configModule: PublishModule = myconfig
}
object `block-inclusivecache-sifive` extends CommonModule {
override def ivyDeps = super.ivyDeps() ++ chisel
trait CommonModule extends ScalaModule {
override def scalaVersion = sv
override def scalacOptions = Seq("-Xsource:2.11")
override def moduleDeps: Seq[ScalaModule] = Seq(mychisel3)
override def millSourcePath = super.millSourcePath / 'design / 'craft / 'inclusivecache
private val macroParadise = ivy"org.scalamacros:::paradise:2.1.1"
override def compileIvyDeps = Agg(macroParadise)
override def scalacPluginIvyDeps = Agg(macroParadise)
override def moduleDeps = super.moduleDeps ++ Seq(`rocket-chip`)
}
object myinclusivecache extends CommonModule {
override def millSourcePath = os.pwd / "block-inclusivecache-sifive" / "design" / "craft" / "inclusivecache"
override def moduleDeps = super.moduleDeps ++ Seq(myrocketchip)
object chiseltest extends CommonModule with SbtModule {
override def ivyDeps = super.ivyDeps() ++ Agg(
ivy"edu.berkeley.cs::treadle:1.3.0",
ivy"org.scalatest::scalatest:3.2.0",
ivy"com.lihaoyi::utest:0.7.4"
) ++ chisel
object test extends Tests {
def ivyDeps = Agg(ivy"org.scalacheck::scalacheck:1.14.3")
def testFrameworks = Seq("org.scalatest.tools.Framework")
}
}
object myblocks extends CommonModule with SbtModule {
override def moduleDeps = super.moduleDeps ++ Seq(myrocketchip)
}
object XiangShan extends CommonModule with SbtModule {
override def millSourcePath = millOuterCtx.millSourcePath
override def forkArgs = Seq("-Xmx10G")
override def ivyDeps = super.ivyDeps() ++ chisel
override def moduleDeps = super.moduleDeps ++ Seq(
myrocketchip,
myinclusivecache,
`rocket-chip`,
`block-inclusivecache-sifive`,
chiseltest
)
object test extends Tests {
override def ivyDeps = Agg(
ivy"org.scalatest::scalatest:3.2.0",
)
override def moduleDeps = super.moduleDeps ++ Seq(
mychiseltest
override def ivyDeps = super.ivyDeps() ++ Agg(
ivy"org.scalatest::scalatest:3.2.0"
)
def testFrameworks = Seq(
"org.scalatest.tools.Framework"
)
def testOnly(args: String*) = T.command {
super.runMain("org.scalatest.tools.Runner", args: _*)
}
}
}
}
\ No newline at end of file
Subproject commit 87916d55490ff04691bc59454086c82ed09646b2
Subproject commit cd845bdbfea0c09e9edbf61e651ede5197d8a084
Subproject commit 0368d83ba472e8fb90057ace0389ff65d96b667a
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册