未验证 提交 91e3488a 编写于 作者: L Leway Colin 提交者: GitHub

Fix typo (#1480)

上级 57bb43b5
......@@ -39,7 +39,7 @@ class ICacheReleaseBundle(implicit p: Parameters) extends ICacheBundle{
val req = Vec(2, Flipped(DecoupledIO(new ReleaseReq)))
}
class RealeaseEntry(edge: TLEdgeOut)(implicit p: Parameters) extends ICacheModule
class ReleaseEntry(edge: TLEdgeOut)(implicit p: Parameters) extends ICacheModule
{
val io = IO(new Bundle {
val id = Input(UInt())
......@@ -149,7 +149,7 @@ class ReleaseUnit(edge: TLEdgeOut)(implicit p: Parameters) extends ICacheModule
io.mem_release.bits := DontCare
io.mem_grant.ready := false.B
val entry = Module(new RealeaseEntry(edge))
val entry = Module(new ReleaseEntry(edge))
entry.io.id := 0.U
......@@ -165,4 +165,4 @@ class ReleaseUnit(edge: TLEdgeOut)(implicit p: Parameters) extends ICacheModule
io.mem_release <> entry.io.mem_release
io.finish := entry.io.finish
}
\ No newline at end of file
}
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册