提交 23c18692 编写于 作者: Z Zihao Yu

build.sc: update chilse to chisel3:3.2.0-RC1

上级 d602f6fa
...@@ -25,8 +25,7 @@ trait HasXsource211 extends ScalaModule { ...@@ -25,8 +25,7 @@ trait HasXsource211 extends ScalaModule {
trait HasChisel3 extends ScalaModule { trait HasChisel3 extends ScalaModule {
override def ivyDeps = Agg( override def ivyDeps = Agg(
//ivy"edu.berkeley.cs::chisel3:3.1.+" ivy"edu.berkeley.cs::chisel3:3.2.0-RC1"
ivy"edu.berkeley.cs::chisel3:3.2-SNAPSHOT"
) )
} }
......
...@@ -6,7 +6,6 @@ import device.{AXI4Timer, AXI4VGA} ...@@ -6,7 +6,6 @@ import device.{AXI4Timer, AXI4VGA}
import gpu._ import gpu._
import chisel3._ import chisel3._
import chisel3.experimental.dontTouch
class Top extends Module { class Top extends Module {
val io = IO(new Bundle{}) val io = IO(new Bundle{})
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册