diff --git a/build.sc b/build.sc index 6874458771c826521221d70be87e72d7fc235399..7078edd69bb30bc005a3533c869f3dbf8d6d1fd8 100644 --- a/build.sc +++ b/build.sc @@ -25,8 +25,7 @@ trait HasXsource211 extends ScalaModule { trait HasChisel3 extends ScalaModule { override def ivyDeps = Agg( - //ivy"edu.berkeley.cs::chisel3:3.1.+" - ivy"edu.berkeley.cs::chisel3:3.2-SNAPSHOT" + ivy"edu.berkeley.cs::chisel3:3.2.0-RC1" ) } diff --git a/src/main/scala/top/TopMain.scala b/src/main/scala/top/TopMain.scala index a137823a81c02440228ce88ad5de8fc086eea176..4156dec39bb44cf13189fad02b44207c79126027 100644 --- a/src/main/scala/top/TopMain.scala +++ b/src/main/scala/top/TopMain.scala @@ -6,7 +6,6 @@ import device.{AXI4Timer, AXI4VGA} import gpu._ import chisel3._ -import chisel3.experimental.dontTouch class Top extends Module { val io = IO(new Bundle{})