SoC.scala 2.2 KB
Newer Older
Z
Zihao Yu 已提交
1 2
package system

3
import noop.{NOOP, NOOPConfig, Cache, L2Cache, CacheConfig}
Z
Zihao Yu 已提交
4
import bus.axi4.{AXI4, AXI4Lite}
5
import bus.simplebus._
Z
Zihao Yu 已提交
6 7

import chisel3._
Z
zhanglinjuan 已提交
8
import chisel3.util._
9
import chisel3.util.experimental.BoringUtils
Z
Zihao Yu 已提交
10 11 12

class NOOPSoC(implicit val p: NOOPConfig) extends Module {
  val io = IO(new Bundle{
13
    val mem = new AXI4
14
    val mmio = (if (p.FPGAPlatform) { new AXI4Lite } else { new SimpleBusUC })
15
    val mtip = Input(Bool())
Z
Zihao Yu 已提交
16
    val meip = Input(Bool())
Z
Zihao Yu 已提交
17 18 19
  })

  val noop = Module(new NOOP)
20 21 22
  val cohMg = Module(new CoherenceInterconnect)
  cohMg.io.in(0) <> noop.io.imem
  cohMg.io.in(1) <> noop.io.dmem
Z
zhanglinjuan 已提交
23
	
Z
nothing  
zhanglinjuan 已提交
24
	
Z
zhanglinjuan 已提交
25
	// add L2 Cache and Dcache Prefetcher
Z
nothing  
zhanglinjuan 已提交
26
	/*
Z
zhanglinjuan 已提交
27 28 29 30
	val prefetcher = Module(new Prefetcher)
	prefetcher.io.in <> noop.io.prefetchReq

	val l2cacheIn = Wire(new SimpleBusUC)
Z
nothing  
zhanglinjuan 已提交
31
	val l2cacheInReqArb = Module(new Arbiter(chiselTypeOf(noop.io.prefetchReq.bits), 2))
Z
zhanglinjuan 已提交
32 33 34 35 36
	l2cacheInReqArb.io.in(0) <> cohMg.io.out.req
	l2cacheInReqArb.io.in(1) <> prefetcher.io.out
	l2cacheIn.req <> l2cacheInReqArb.io.out
	cohMg.io.out.resp <> l2cacheIn.resp

37 38 39
	val mmioXbar = Module(new SimpleBusCrossbarNto1(2))
	
	val l2cacheOut = Wire(new SimpleBusUC)
Z
zhanglinjuan 已提交
40
	l2cacheOut <> Cache(in = l2cacheIn, mmio = mmioXbar.io.in(0), flush = "b00".U, enable = true)(CacheConfig(ro = false, name = "l2cache", cacheLevel = 2))
41
	io.mem <> l2cacheOut.toAXI4()
Z
zhanglinjuan 已提交
42 43 44 45

	mmioXbar.io.in(1) <> noop.io.mmio
	if (p.FPGAPlatform) io.mmio <> mmioXbar.io.out.toAXI4Lite()
  else io.mmio <> mmioXbar.io.out
46
	*/
Z
zhanglinjuan 已提交
47 48
	
	// add L2 Cache
Z
nothing  
zhanglinjuan 已提交
49
	/*
Z
zhanglinjuan 已提交
50 51 52 53 54
	val mmioXbar = Module(new SimpleBusCrossbarNto1(2))
	
	val l2cacheOut = Wire(new SimpleBusUC)
	l2cacheOut <> Cache(in = cohMg.io.out, mmio = mmioXbar.io.in(0), flush = "b00".U, enable = true)(CacheConfig(ro = false, name = "l2cache", cacheLevel = 2))
	io.mem <> l2cacheOut.toAXI4()
Z
Zihao Yu 已提交
55

56 57 58
	mmioXbar.io.in(1) <> noop.io.mmio
	if (p.FPGAPlatform) io.mmio <> mmioXbar.io.out.toAXI4Lite()
  else io.mmio <> mmioXbar.io.out
Z
nothing  
zhanglinjuan 已提交
59
	*/
Z
zhanglinjuan 已提交
60 61
	
	// no L2 Cache
Z
nothing  
zhanglinjuan 已提交
62
	
Z
zhanglinjuan 已提交
63 64
	io.mem <> cohMg.io.out.toAXI4()

65
  if (p.FPGAPlatform) io.mmio <> noop.io.mmio.toAXI4Lite()
Z
Zihao Yu 已提交
66
  else io.mmio <> noop.io.mmio
Z
nothing  
zhanglinjuan 已提交
67 68
	

Z
Zihao Yu 已提交
69
  val mtipSync = RegNext(RegNext(io.mtip))
Z
Zihao Yu 已提交
70
  val meipSync = RegNext(RegNext(io.meip))
Z
Zihao Yu 已提交
71
  BoringUtils.addSource(mtipSync, "mtip")
Z
Zihao Yu 已提交
72
  BoringUtils.addSource(meipSync, "meip")
Z
Zihao Yu 已提交
73
}