SoC.scala 586 字节
Newer Older
Z
Zihao Yu 已提交
1 2 3 4
package system

import noop.{NOOP, NOOPConfig}
import bus.axi4.{AXI4, AXI4Lite}
5
import bus.simplebus._
Z
Zihao Yu 已提交
6 7 8 9 10

import chisel3._

class NOOPSoC(implicit val p: NOOPConfig) extends Module {
  val io = IO(new Bundle{
11
    val mem = new AXI4
12
    val mmio = (if (p.FPGAPlatform) { new AXI4Lite } else { new SimpleBusUC })
Z
Zihao Yu 已提交
13 14 15
  })

  val noop = Module(new NOOP)
16 17 18 19
  val cohMg = Module(new CoherenceInterconnect)
  cohMg.io.in(0) <> noop.io.imem
  cohMg.io.in(1) <> noop.io.dmem
  io.mem <> cohMg.io.out.toAXI4()
Z
Zihao Yu 已提交
20

21
  if (p.FPGAPlatform) io.mmio <> noop.io.mmio.toAXI4Lite()
Z
Zihao Yu 已提交
22 23
  else io.mmio <> noop.io.mmio
}