SoC.scala 529 字节
Newer Older
Z
Zihao Yu 已提交
1 2 3 4
package system

import noop.{NOOP, NOOPConfig}
import bus.axi4.{AXI4, AXI4Lite}
5
import bus.simplebus._
Z
Zihao Yu 已提交
6 7 8 9 10 11 12

import chisel3._

class NOOPSoC(implicit val p: NOOPConfig) extends Module {
  val io = IO(new Bundle{
    val imem = new AXI4
    val dmem = new AXI4
13
    val mmio = (if (p.FPGAPlatform) { new AXI4Lite } else { new SimpleBusUL })
Z
Zihao Yu 已提交
14 15 16 17 18 19
  })

  val noop = Module(new NOOP)
  io.imem <> noop.io.imem.toAXI4()
  io.dmem <> noop.io.dmem.toAXI4()

20
  if (p.FPGAPlatform) io.mmio <> noop.io.mmio.toAXI4()
Z
Zihao Yu 已提交
21 22
  else io.mmio <> noop.io.mmio
}