Parameters.scala 14.7 KB
Newer Older
L
Lemover 已提交
1 2
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
Y
Yinan Xu 已提交
3
* Copyright (c) 2020-2021 Peng Cheng Laboratory
L
Lemover 已提交
4 5 6 7 8 9 10 11 12 13 14 15 16
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

17 18 19 20 21 22 23
package xiangshan

import chipsalliance.rocketchip.config.{Field, Parameters}
import chisel3._
import chisel3.util._
import xiangshan.backend.exu._
import xiangshan.backend.dispatch.DispatchParameters
24
import xiangshan.cache.DCacheParameters
25
import xiangshan.cache.prefetch._
26 27
import xiangshan.frontend.{BIM, BasePredictor, BranchPredictionResp, FTB, FakePredictor, MicroBTB, RAS, Tage, ITTage, Tage_SC}
import xiangshan.frontend.icache.ICacheParameters
28
import xiangshan.cache.mmu.{L2TLBParameters, TLBParameters}
29
import freechips.rocketchip.diplomacy.AddressSet
30
import system.SoCParamsKey
31 32
import huancun._
import huancun.debug._
33
import scala.math.min
J
Jiawei Lin 已提交
34 35 36

case object XSTileKey extends Field[Seq[XSCoreParameters]]

37 38 39 40 41 42 43 44 45 46 47 48 49 50 51
case object XSCoreParamsKey extends Field[XSCoreParameters]

case class XSCoreParameters
(
  HasPrefetch: Boolean = false,
  HartId: Int = 0,
  XLEN: Int = 64,
  HasMExtension: Boolean = true,
  HasCExtension: Boolean = true,
  HasDiv: Boolean = true,
  HasICache: Boolean = true,
  HasDCache: Boolean = true,
  AddrBits: Int = 64,
  VAddrBits: Int = 39,
  HasFPU: Boolean = true,
Z
zhanglinjuan 已提交
52
  HasCustomCSRCacheOp: Boolean = true,
53
  FetchWidth: Int = 8,
54
  AsidLength: Int = 16,
55 56 57 58 59
  EnableBPU: Boolean = true,
  EnableBPD: Boolean = true,
  EnableRAS: Boolean = true,
  EnableLB: Boolean = false,
  EnableLoop: Boolean = true,
60
  EnableSC: Boolean = true,
61 62 63
  EnbaleTlbDebug: Boolean = false,
  EnableJal: Boolean = false,
  EnableUBTB: Boolean = true,
64
  HistoryLength: Int = 512,
65 66
  UbtbSize: Int = 1024,
  FtbSize: Int = 2048,
67
  RasSize: Int = 32,
68
  CacheLineSize: Int = 512,
69
  FtbWays: Int = 4,
70 71
  TageTableInfos: Seq[Tuple3[Int,Int,Int]] =
  //       Sets  Hist   Tag
72 73 74 75 76 77 78 79
    Seq(( 128*8,    2,   10),
        ( 128*8,    8,   10),
        ( 128*8,   12,   10),
        ( 128*8,   16,   10),
        ( 128*8,   28,   10),
        ( 128*8,   54,   10),
        ( 128*8,  119,   10),
        ( 128*8,  256,   10)),
L
Lingrui98 已提交
80
  TageBanks: Int = 2,
81 82
  ITTageTableInfos: Seq[Tuple3[Int,Int,Int]] =
  //      Sets  Hist   Tag
83
    Seq(( 512,    0,    0),
84 85 86 87 88
        ( 256,    4,    9),
        ( 256,    8,    9),
        ( 512,   12,    9),
        ( 512,   16,    9),
        ( 512,   32,    9)),
89 90
  SCNRows: Int = 512,
  SCNTables: Int = 4,
91
  SCCtrBits: Int = 6,
92
  SCHistLens: Seq[Int] = Seq(0, 4, 10, 16),
93
  numBr: Int = 2,
94 95
  branchPredictor: Function2[BranchPredictionResp, Parameters, Tuple2[Seq[BasePredictor], BranchPredictionResp]] =
    ((resp_in: BranchPredictionResp, p: Parameters) => {
Z
zoujr 已提交
96 97 98 99 100 101 102
      // val loop = Module(new LoopPredictor)
      // val tage = (if(EnableBPD) { if (EnableSC) Module(new Tage_SC)
      //                             else          Module(new Tage) }
      //             else          { Module(new FakeTage) })
      val ftb = Module(new FTB()(p))
      val ubtb = Module(new MicroBTB()(p))
      val bim = Module(new BIM()(p))
103
      val tage = Module(new Tage_SC()(p))
L
Lingrui98 已提交
104
      val ras = Module(new RAS()(p))
105
      val ittage = Module(new ITTage()(p))
L
Lingrui98 已提交
106
      // val tage = Module(new Tage()(p))
Z
zoujr 已提交
107
      // val fake = Module(new FakePredictor()(p))
Z
zoujr 已提交
108 109

      // val preds = Seq(loop, tage, btb, ubtb, bim)
110
      val preds = Seq(bim, ubtb, tage, ftb, ittage, ras)
Z
zoujr 已提交
111 112 113 114 115 116 117
      preds.map(_.io := DontCare)

      // ubtb.io.resp_in(0)  := resp_in
      // bim.io.resp_in(0)   := ubtb.io.resp
      // btb.io.resp_in(0)   := bim.io.resp
      // tage.io.resp_in(0)  := btb.io.resp
      // loop.io.resp_in(0)  := tage.io.resp
L
Lingrui98 已提交
118
      bim.io.in.bits.resp_in(0)  := resp_in
119 120 121
      ubtb.io.in.bits.resp_in(0) := bim.io.out.resp
      tage.io.in.bits.resp_in(0) := ubtb.io.out.resp
      ftb.io.in.bits.resp_in(0)  := tage.io.out.resp
122 123
      ittage.io.in.bits.resp_in(0)  := ftb.io.out.resp
      ras.io.in.bits.resp_in(0) := ittage.io.out.resp
Y
Yinan Xu 已提交
124

L
Lingrui98 已提交
125
      (preds, ras.io.out.resp)
Z
zoujr 已提交
126
    }),
127 128 129 130
  IBufSize: Int = 48,
  DecodeWidth: Int = 6,
  RenameWidth: Int = 6,
  CommitWidth: Int = 6,
L
Lingrui98 已提交
131
  FtqSize: Int = 64,
132 133
  EnableLoadFastWakeUp: Boolean = true, // NOTE: not supported now, make it false
  IssQueSize: Int = 16,
134
  NRPhyRegs: Int = 192,
135 136
  LoadQueueSize: Int = 80,
  StoreQueueSize: Int = 64,
137
  RobSize: Int = 256,
138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159
  dpParams: DispatchParameters = DispatchParameters(
    IntDqSize = 16,
    FpDqSize = 16,
    LsDqSize = 16,
    IntDqDeqWidth = 4,
    FpDqDeqWidth = 4,
    LsDqDeqWidth = 4
  ),
  exuParameters: ExuParameters = ExuParameters(
    JmpCnt = 1,
    AluCnt = 4,
    MulCnt = 0,
    MduCnt = 2,
    FmacCnt = 4,
    FmiscCnt = 2,
    FmiscDivSqrtCnt = 0,
    LduCnt = 2,
    StuCnt = 2
  ),
  LoadPipelineWidth: Int = 2,
  StorePipelineWidth: Int = 2,
  StoreBufferSize: Int = 16,
160
  StoreBufferThreshold: Int = 7,
161
  EnableLoadToLoadForward: Boolean = false,
W
William Wang 已提交
162
  EnableFastForward: Boolean = false,
163
  EnableLdVioCheckAfterReset: Boolean = true,
164
  RefillSize: Int = 512,
165
  MMUAsidLen: Int = 16, // max is 16, 0 is not supported now
166 167 168 169
  itlbParameters: TLBParameters = TLBParameters(
    name = "itlb",
    fetchi = true,
    useDmode = false,
J
Jay 已提交
170 171
    sameCycle = false,
    missSameCycle = true,
172
    normalNWays = 32,
173
    normalReplacer = Some("plru"),
174
    superNWays = 4,
175 176 177 178 179 180 181 182 183 184 185
    superReplacer = Some("plru"),
    shouldBlock = true
  ),
  ldtlbParameters: TLBParameters = TLBParameters(
    name = "ldtlb",
    normalNSets = 128,
    normalNWays = 1,
    normalAssociative = "sa",
    normalReplacer = Some("setplru"),
    superNWays = 8,
    normalAsVictim = true,
186 187
    outReplace = true,
    saveLevel = true
188 189 190 191 192 193 194 195 196
  ),
  sttlbParameters: TLBParameters = TLBParameters(
    name = "sttlb",
    normalNSets = 128,
    normalNWays = 1,
    normalAssociative = "sa",
    normalReplacer = Some("setplru"),
    superNWays = 8,
    normalAsVictim = true,
197 198
    outReplace = true,
    saveLevel = true
199
  ),
200
  refillBothTlb: Boolean = false,
201 202 203 204 205 206
  btlbParameters: TLBParameters = TLBParameters(
    name = "btlb",
    normalNSets = 1,
    normalNWays = 64,
    superNWays = 4,
  ),
207
  l2tlbParameters: L2TLBParameters = L2TLBParameters(),
208
  NumPerfCounters: Int = 16,
209 210 211 212
  icacheParameters: ICacheParameters = ICacheParameters(
    tagECC = Some("parity"),
    dataECC = Some("parity"),
    replacer = Some("setplru"),
213 214
    nMissEntries = 2,
    nReleaseEntries = 2
215
  ),
J
Jiawei Lin 已提交
216
  dcacheParametersOpt: Option[DCacheParameters] = Some(DCacheParameters(
217 218 219 220
    tagECC = Some("secded"),
    dataECC = Some("secded"),
    replacer = Some("setplru"),
    nMissEntries = 16,
W
William Wang 已提交
221 222
    nProbeEntries = 8,
    nReleaseEntries = 18
J
Jiawei Lin 已提交
223 224
  )),
  L2CacheParamsOpt: Option[HCCacheParameters] = Some(HCCacheParameters(
225 226 227 228 229
    name = "l2",
    level = 2,
    ways = 8,
    sets = 1024, // default 512KB L2
    prefetch = Some(huancun.prefetch.BOPParameters())
J
Jiawei Lin 已提交
230
  )),
J
Jiawei Lin 已提交
231
  L2NBanks: Int = 1,
232
  usePTWRepeater: Boolean = false,
J
Jiawei Lin 已提交
233
  softPTW: Boolean = false // dpi-c debug only
234 235
){
  val loadExuConfigs = Seq.fill(exuParameters.LduCnt)(LdExeUnitCfg)
236
  val storeExuConfigs = Seq.fill(exuParameters.StuCnt)(StaExeUnitCfg) ++ Seq.fill(exuParameters.StuCnt)(StdExeUnitCfg)
237

238
  val intExuConfigs = (Seq.fill(exuParameters.AluCnt)(AluExeUnitCfg) ++
239
    Seq.fill(exuParameters.MduCnt)(MulDivExeUnitCfg) :+ JumpCSRExeUnitCfg)
240 241 242 243 244 245 246 247 248 249 250 251

  val fpExuConfigs =
    Seq.fill(exuParameters.FmacCnt)(FmacExeUnitCfg) ++
      Seq.fill(exuParameters.FmiscCnt)(FmiscExeUnitCfg)

  val exuConfigs: Seq[ExuConfig] = intExuConfigs ++ fpExuConfigs ++ loadExuConfigs ++ storeExuConfigs
}

case object DebugOptionsKey extends Field[DebugOptions]

case class DebugOptions
(
252 253
  FPGAPlatform: Boolean = false,
  EnableDifftest: Boolean = false,
254
  AlwaysBasicDiff: Boolean = true,
255
  EnableDebug: Boolean = false,
256 257 258 259 260 261 262 263
  EnablePerfDebug: Boolean = true,
  UseDRAMSim: Boolean = false
)

trait HasXSParameter {

  implicit val p: Parameters

264 265
  val PAddrBits = p(SoCParamsKey).PAddrBits // PAddrBits is Phyical Memory addr bits

266 267 268 269 270 271 272 273 274 275 276 277 278 279 280
  val coreParams = p(XSCoreParamsKey)
  val env = p(DebugOptionsKey)

  val XLEN = coreParams.XLEN
  val minFLen = 32
  val fLen = 64
  def xLen = XLEN

  val HasMExtension = coreParams.HasMExtension
  val HasCExtension = coreParams.HasCExtension
  val HasDiv = coreParams.HasDiv
  val HasIcache = coreParams.HasICache
  val HasDcache = coreParams.HasDCache
  val AddrBits = coreParams.AddrBits // AddrBits is used in some cases
  val VAddrBits = coreParams.VAddrBits // VAddrBits is Virtual Memory addr bits
281
  val AsidLength = coreParams.AsidLength
282 283 284 285
  val AddrBytes = AddrBits / 8 // unused
  val DataBits = XLEN
  val DataBytes = DataBits / 8
  val HasFPU = coreParams.HasFPU
Z
zhanglinjuan 已提交
286
  val HasCustomCSRCacheOp = coreParams.HasCustomCSRCacheOp
287 288 289 290 291 292 293 294 295 296
  val FetchWidth = coreParams.FetchWidth
  val PredictWidth = FetchWidth * (if (HasCExtension) 2 else 1)
  val EnableBPU = coreParams.EnableBPU
  val EnableBPD = coreParams.EnableBPD // enable backing predictor(like Tage) in BPUStage3
  val EnableRAS = coreParams.EnableRAS
  val EnableLB = coreParams.EnableLB
  val EnableLoop = coreParams.EnableLoop
  val EnableSC = coreParams.EnableSC
  val EnbaleTlbDebug = coreParams.EnbaleTlbDebug
  val HistoryLength = coreParams.HistoryLength
297 298 299 300
  val UbtbGHRLength = log2Ceil(coreParams.UbtbSize)
  val UbtbSize = coreParams.UbtbSize
  val FtbSize = coreParams.FtbSize
  val FtbWays = coreParams.FtbWays
301
  val RasSize = coreParams.RasSize
Z
zoujr 已提交
302

303 304
  def getBPDComponents(resp_in: BranchPredictionResp, p: Parameters) = {
    coreParams.branchPredictor(resp_in, p)
Z
zoujr 已提交
305
  }
306 307 308 309 310 311 312
  val numBr = coreParams.numBr
  val TageTableInfos = coreParams.TageTableInfos


  val BankTageTableInfos = (0 until numBr).map(i =>
    TageTableInfos.map{ case (s, h, t) => (s/(1 << i), h, t) }
  )
L
Lingrui98 已提交
313
  val TageBanks = coreParams.TageBanks
314 315
  val SCNRows = coreParams.SCNRows
  val SCCtrBits = coreParams.SCCtrBits
316
  val BankSCHistLens = Seq.fill(numBr)(coreParams.SCHistLens)
317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333
  val BankSCNTables = Seq.fill(numBr)(coreParams.SCNTables)

  val BankSCTableInfos = (BankSCNTables zip BankSCHistLens).map {
    case (ntable, histlens) =>
      Seq.fill(ntable)((SCNRows, SCCtrBits)) zip histlens map {case ((n, cb), h) => (n, cb, h)}
  }
  val ITTageTableInfos = coreParams.ITTageTableInfos
  type FoldedHistoryInfo = Tuple2[Int, Int]
  val foldedGHistInfos =
    (BankTageTableInfos.flatMap(_.map{ case (nRows, h, t) =>
      if (h > 0)
        Set((h, min(log2Ceil(nRows), h)), (h, min(h, t)), (h, min(h, t-1)))
      else
        Set[FoldedHistoryInfo]()
    }.reduce(_++_)).toSet ++
    BankSCTableInfos.flatMap(_.map{ case (nRows, _, h) =>
      if (h > 0)
L
Lingrui98 已提交
334
        Set((h, min(log2Ceil(nRows/TageBanks), h)))
335 336 337 338 339 340 341 342
      else
        Set[FoldedHistoryInfo]()
    }.reduce(_++_)).toSet ++
    ITTageTableInfos.map{ case (nRows, h, t) =>
      if (h > 0)
        Set((h, min(log2Ceil(nRows), h)), (h, min(h, t)), (h, min(h, t-1)))
      else
        Set[FoldedHistoryInfo]()
343 344 345
    }.reduce(_++_) ++
      Set[FoldedHistoryInfo]((UbtbGHRLength, log2Ceil(UbtbSize)))
    ).toList
Z
zoujr 已提交
346

347 348 349 350 351 352 353 354 355 356 357 358
  val CacheLineSize = coreParams.CacheLineSize
  val CacheLineHalfWord = CacheLineSize / 16
  val ExtHistoryLength = HistoryLength + 64
  val IBufSize = coreParams.IBufSize
  val DecodeWidth = coreParams.DecodeWidth
  val RenameWidth = coreParams.RenameWidth
  val CommitWidth = coreParams.CommitWidth
  val FtqSize = coreParams.FtqSize
  val IssQueSize = coreParams.IssQueSize
  val EnableLoadFastWakeUp = coreParams.EnableLoadFastWakeUp
  val NRPhyRegs = coreParams.NRPhyRegs
  val PhyRegIdxWidth = log2Up(NRPhyRegs)
Y
Yinan Xu 已提交
359
  val RobSize = coreParams.RobSize
360
  val IntRefCounterWidth = log2Ceil(RobSize)
361 362 363 364 365
  val LoadQueueSize = coreParams.LoadQueueSize
  val StoreQueueSize = coreParams.StoreQueueSize
  val dpParams = coreParams.dpParams
  val exuParameters = coreParams.exuParameters
  val NRMemReadPorts = exuParameters.LduCnt + 2 * exuParameters.StuCnt
366 367 368 369
  val NRIntReadPorts = 2 * exuParameters.AluCnt + NRMemReadPorts
  val NRIntWritePorts = exuParameters.AluCnt + exuParameters.MduCnt + exuParameters.LduCnt
  val NRFpReadPorts = 3 * exuParameters.FmacCnt + exuParameters.StuCnt
  val NRFpWritePorts = exuParameters.FpExuCnt + exuParameters.LduCnt
370 371 372
  val LoadPipelineWidth = coreParams.LoadPipelineWidth
  val StorePipelineWidth = coreParams.StorePipelineWidth
  val StoreBufferSize = coreParams.StoreBufferSize
373
  val StoreBufferThreshold = coreParams.StoreBufferThreshold
374
  val EnableLoadToLoadForward = coreParams.EnableLoadToLoadForward
375
  val EnableFastForward = coreParams.EnableFastForward
W
William Wang 已提交
376
  val EnableLdVioCheckAfterReset = coreParams.EnableLdVioCheckAfterReset
377
  val RefillSize = coreParams.RefillSize
378
  val asidLen = coreParams.MMUAsidLen
379
  val BTLBWidth = coreParams.LoadPipelineWidth + coreParams.StorePipelineWidth
380
  val refillBothTlb = coreParams.refillBothTlb
381 382 383 384
  val itlbParams = coreParams.itlbParameters
  val ldtlbParams = coreParams.ldtlbParameters
  val sttlbParams = coreParams.sttlbParameters
  val btlbParams = coreParams.btlbParameters
385
  val l2tlbParams = coreParams.l2tlbParameters
386 387
  val NumPerfCounters = coreParams.NumPerfCounters

388 389
  val NumRs = (exuParameters.JmpCnt+1)/2 + (exuParameters.AluCnt+1)/2 + (exuParameters.MulCnt+1)/2 +
              (exuParameters.MduCnt+1)/2 + (exuParameters.FmacCnt+1)/2 +  + (exuParameters.FmiscCnt+1)/2 +
390
              (exuParameters.FmiscDivSqrtCnt+1)/2 + (exuParameters.LduCnt+1)/2 +
391
              ((exuParameters.StuCnt+1)/2) + ((exuParameters.StuCnt+1)/2)
392

393 394 395
  val instBytes = if (HasCExtension) 2 else 4
  val instOffsetBits = log2Ceil(instBytes)

396
  val icacheParameters = coreParams.icacheParameters
J
Jiawei Lin 已提交
397
  val dcacheParameters = coreParams.dcacheParametersOpt.getOrElse(DCacheParameters())
398 399 400 401 402 403

  val LRSCCycles = 100

  // cache hierarchy configurations
  val l1BusDataWidth = 256

404 405 406 407 408 409 410 411 412 413 414 415 416
  // load violation predict
  val ResetTimeMax2Pow = 20 //1078576
  val ResetTimeMin2Pow = 10 //1024
  // wait table parameters
  val WaitTableSize = 1024
  val MemPredPCWidth = log2Up(WaitTableSize)
  val LWTUse2BitCounter = true
  // store set parameters
  val SSITSize = WaitTableSize
  val LFSTSize = 32
  val SSIDWidth = log2Up(LFSTSize)
  val LFSTWidth = 4
  val StoreSetEnable = true // LWT will be disabled if SS is enabled
417

418 419 420 421
  val loadExuConfigs = coreParams.loadExuConfigs
  val storeExuConfigs = coreParams.storeExuConfigs

  val intExuConfigs = coreParams.intExuConfigs
422

423
  val fpExuConfigs = coreParams.fpExuConfigs
424

425
  val exuConfigs = coreParams.exuConfigs
426

427 428 429 430 431 432 433 434
  val PCntIncrStep: Int = 6
  val numPCntHc: Int = 25
  val numPCntPtw: Int = 19

  val numCSRPCntFrontend = 8
  val numCSRPCntCtrl     = 8
  val numCSRPCntLsu      = 8
  val numCSRPCntHc       = 5
435
}