提交 c5f31b5b 编写于 作者: L LinJiawei

update chiseltest to 0.3.0

上级 106f2817
...@@ -6,3 +6,7 @@ ...@@ -6,3 +6,7 @@
path = block-inclusivecache-sifive path = block-inclusivecache-sifive
url = https://github.com/RISCVERS/block-inclusivecache-sifive.git url = https://github.com/RISCVERS/block-inclusivecache-sifive.git
branch = 5491dcc937ed3c6f7722bef9db448653daab75e8 branch = 5491dcc937ed3c6f7722bef9db448653daab75e8
[submodule "chiseltest"]
path = chiseltest
url = https://github.com/ucb-bar/chisel-testers2.git
branch = 3e3ecc5b25b7b6bc48341ec07c7a54b7ad53bcb7
...@@ -25,7 +25,7 @@ trait CommonModule extends ScalaModule { ...@@ -25,7 +25,7 @@ trait CommonModule extends ScalaModule {
override def scalacPluginIvyDeps = Agg(macroParadise) override def scalacPluginIvyDeps = Agg(macroParadise)
} }
val rocketChisel = Agg( val chisel = Agg(
ivy"edu.berkeley.cs::chisel3:3.4.0" ivy"edu.berkeley.cs::chisel3:3.4.0"
) )
...@@ -34,7 +34,7 @@ object `rocket-chip` extends SbtModule with CommonModule { ...@@ -34,7 +34,7 @@ object `rocket-chip` extends SbtModule with CommonModule {
override def ivyDeps = super.ivyDeps() ++ Agg( override def ivyDeps = super.ivyDeps() ++ Agg(
ivy"${scalaOrganization()}:scala-reflect:${scalaVersion()}", ivy"${scalaOrganization()}:scala-reflect:${scalaVersion()}",
ivy"org.json4s::json4s-jackson:3.6.1" ivy"org.json4s::json4s-jackson:3.6.1"
) ++ rocketChisel ) ++ chisel
object `api-config-chipsalliance` extends CommonModule { object `api-config-chipsalliance` extends CommonModule {
...@@ -44,7 +44,7 @@ object `rocket-chip` extends SbtModule with CommonModule { ...@@ -44,7 +44,7 @@ object `rocket-chip` extends SbtModule with CommonModule {
object macros extends SbtModule with CommonModule object macros extends SbtModule with CommonModule
object hardfloat extends SbtModule with CommonModule { object hardfloat extends SbtModule with CommonModule {
override def ivyDeps = super.ivyDeps() ++ rocketChisel override def ivyDeps = super.ivyDeps() ++ chisel
} }
override def moduleDeps = super.moduleDeps ++ Seq( override def moduleDeps = super.moduleDeps ++ Seq(
...@@ -54,13 +54,25 @@ object `rocket-chip` extends SbtModule with CommonModule { ...@@ -54,13 +54,25 @@ object `rocket-chip` extends SbtModule with CommonModule {
} }
object `block-inclusivecache-sifive` extends CommonModule { object `block-inclusivecache-sifive` extends CommonModule {
override def ivyDeps = super.ivyDeps() ++ rocketChisel override def ivyDeps = super.ivyDeps() ++ chisel
override def millSourcePath = super.millSourcePath / 'design / 'craft / 'inclusivecache override def millSourcePath = super.millSourcePath / 'design / 'craft / 'inclusivecache
override def moduleDeps = super.moduleDeps ++ Seq(`rocket-chip`) override def moduleDeps = super.moduleDeps ++ Seq(`rocket-chip`)
} }
object chiseltest extends CommonModule with SbtModule {
override def ivyDeps = super.ivyDeps() ++ Agg(
ivy"edu.berkeley.cs::treadle:1.3.0",
ivy"org.scalatest::scalatest:3.0.8",
ivy"com.lihaoyi::utest:0.7.4"
) ++ chisel
object test extends Tests {
def ivyDeps = Agg(ivy"org.scalacheck::scalacheck:1.14.3")
def testFrameworks = Seq("org.scalatest.tools.Framework")
}
}
object XiangShan extends CommonModule with SbtModule { object XiangShan extends CommonModule with SbtModule {
override def millSourcePath = millOuterCtx.millSourcePath override def millSourcePath = millOuterCtx.millSourcePath
...@@ -71,13 +83,16 @@ object XiangShan extends CommonModule with SbtModule { ...@@ -71,13 +83,16 @@ object XiangShan extends CommonModule with SbtModule {
ivy"edu.berkeley.cs::chisel3:3.4.0-RC3" ivy"edu.berkeley.cs::chisel3:3.4.0-RC3"
) )
override def moduleDeps = super.moduleDeps ++ Seq(`rocket-chip`, `block-inclusivecache-sifive`) override def moduleDeps = super.moduleDeps ++ Seq(
`rocket-chip`,
`block-inclusivecache-sifive`,
chiseltest
)
object test extends Tests { object test extends Tests {
override def ivyDeps = super.ivyDeps() ++ Agg( override def ivyDeps = super.ivyDeps() ++ Agg(
ivy"org.scalatest::scalatest:3.0.4", ivy"org.scalatest::scalatest:3.0.4",
ivy"edu.berkeley.cs::chisel-iotesters:1.2+", ivy"edu.berkeley.cs::chisel-iotesters:1.2+",
ivy"edu.berkeley.cs::chiseltest:0.2.1"
) )
def testFrameworks = Seq( def testFrameworks = Seq(
......
Subproject commit 3e3ecc5b25b7b6bc48341ec07c7a54b7ad53bcb7
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册