提交 bf16ffe4 编写于 作者: L LinJiawei

[FMA] fix a bug in stage 2

上级 05ff9d21
......@@ -167,7 +167,7 @@ class FMA extends FPUSubModule with HasPipelineReg {
0.U(1.W), // sign bit
ShiftRightJam(s1_aMant, Mux(s1_discardProdMant, 0.U, s1_expDiff.asUInt()), ADD_WIDTH+3)
)
val alignedAMantNeg = (~alignedAMant).asUInt()
val alignedAMantNeg = -alignedAMant
val effSub = s1_prodSign ^ s1_aSign
val mul_prod = mult.io.carry.tail(1) + mult.io.sum.tail(1)
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册