提交 822229c1 编写于 作者: Y Yinan Xu

freelist: init freelist without preg0

上级 c59eb606
......@@ -19,7 +19,7 @@ class FreeList extends XSModule {
val deallocPregs = Input(Vec(CommitWidth, UInt(PhyRegIdxWidth.W)))
})
val freeList = RegInit(VecInit(Seq.tabulate(NRPhyRegs)(i => i.U(PhyRegIdxWidth.W))))
val freeList = RegInit(VecInit(Seq.tabulate(NRPhyRegs-1)(i => (i+1).U(PhyRegIdxWidth.W))))
val headPtr = RegInit(0.U((PhyRegIdxWidth+1).W))
val tailPtr = RegInit((1 << PhyRegIdxWidth).U((PhyRegIdxWidth+1).W))
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册