diff --git a/src/main/scala/xiangshan/backend/rename/FreeList.scala b/src/main/scala/xiangshan/backend/rename/FreeList.scala index 0ef1a15d603215679a2a16222c6206c1d1d819f5..6011385257c4b3741d7542be2729d605863f0135 100644 --- a/src/main/scala/xiangshan/backend/rename/FreeList.scala +++ b/src/main/scala/xiangshan/backend/rename/FreeList.scala @@ -19,7 +19,7 @@ class FreeList extends XSModule { val deallocPregs = Input(Vec(CommitWidth, UInt(PhyRegIdxWidth.W))) }) - val freeList = RegInit(VecInit(Seq.tabulate(NRPhyRegs)(i => i.U(PhyRegIdxWidth.W)))) + val freeList = RegInit(VecInit(Seq.tabulate(NRPhyRegs-1)(i => (i+1).U(PhyRegIdxWidth.W)))) val headPtr = RegInit(0.U((PhyRegIdxWidth+1).W)) val tailPtr = RegInit((1 << PhyRegIdxWidth).U((PhyRegIdxWidth+1).W))