提交 5e60b051 编写于 作者: L Lingrui98

BPU: disable logs

上级 6b98bdcb
......@@ -8,10 +8,10 @@ import xiangshan.backend.ALUOpType
import xiangshan.backend.JumpOpType
trait HasBPUParameter extends HasXSParameter {
val BPUDebug = true
val BPUDebug = false
val EnableCFICommitLog = true
val EnbaleCFIPredLog = true
val EnableBPUTimeRecord = true
val EnableBPUTimeRecord = EnableCFICommitLog || EnbaleCFIPredLog
}
class TableAddr(val idxBits: Int, val banks: Int) extends XSBundle {
......
......@@ -38,7 +38,7 @@ trait HasTageParameter extends HasXSParameter with HasBPUParameter{
}
abstract class TageBundle extends XSBundle with HasTageParameter with PredictorUtils
abstract class TageModule extends XSModule with HasTageParameter with PredictorUtils { val debug = true }
abstract class TageModule extends XSModule with HasTageParameter with PredictorUtils { val debug = false }
......@@ -376,7 +376,7 @@ class Tage extends BaseTage {
val useThreshold = WireInit(scThreshold.thres)
val updateThreshold = WireInit((useThreshold << 3) + 21.U)
override val debug = true
// override val debug = true
// Keep the table responses to process in s3
val resps = VecInit(tables.map(t => RegEnable(t.io.resp, enable=io.s3Fire)))
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册