From 5e60b051652d2556c79eb01fa74a8f80039f1529 Mon Sep 17 00:00:00 2001 From: Lingrui98 Date: Wed, 18 Nov 2020 17:11:05 +0800 Subject: [PATCH] BPU: disable logs --- src/main/scala/xiangshan/frontend/BPU.scala | 4 ++-- src/main/scala/xiangshan/frontend/Tage.scala | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 31b0e5591..1cdd82445 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -8,10 +8,10 @@ import xiangshan.backend.ALUOpType import xiangshan.backend.JumpOpType trait HasBPUParameter extends HasXSParameter { - val BPUDebug = true + val BPUDebug = false val EnableCFICommitLog = true val EnbaleCFIPredLog = true - val EnableBPUTimeRecord = true + val EnableBPUTimeRecord = EnableCFICommitLog || EnbaleCFIPredLog } class TableAddr(val idxBits: Int, val banks: Int) extends XSBundle { diff --git a/src/main/scala/xiangshan/frontend/Tage.scala b/src/main/scala/xiangshan/frontend/Tage.scala index b5ce50ca1..460e6432f 100644 --- a/src/main/scala/xiangshan/frontend/Tage.scala +++ b/src/main/scala/xiangshan/frontend/Tage.scala @@ -38,7 +38,7 @@ trait HasTageParameter extends HasXSParameter with HasBPUParameter{ } abstract class TageBundle extends XSBundle with HasTageParameter with PredictorUtils -abstract class TageModule extends XSModule with HasTageParameter with PredictorUtils { val debug = true } +abstract class TageModule extends XSModule with HasTageParameter with PredictorUtils { val debug = false } @@ -376,7 +376,7 @@ class Tage extends BaseTage { val useThreshold = WireInit(scThreshold.thres) val updateThreshold = WireInit((useThreshold << 3) + 21.U) - override val debug = true + // override val debug = true // Keep the table responses to process in s3 val resps = VecInit(tables.map(t => RegEnable(t.io.resp, enable=io.s3Fire))) -- GitLab