CtrlBlock.scala 6.6 KB
Newer Older
1 2 3 4
package xiangshan.backend

import chisel3._
import chisel3.util._
Y
Yinan Xu 已提交
5
import utils._
6
import xiangshan._
7
import xiangshan.backend.decode.DecodeStage
Y
Yinan Xu 已提交
8
import xiangshan.backend.rename.{Rename, BusyTable}
9 10 11
import xiangshan.backend.brq.Brq
import xiangshan.backend.dispatch.Dispatch
import xiangshan.backend.exu._
12
import xiangshan.backend.exu.Exu.exuConfigs
13
import xiangshan.backend.regfile.RfReadPort
14
import xiangshan.backend.roq.{Roq, RoqPtr, RoqCSRIO}
Y
Yinan Xu 已提交
15
import xiangshan.mem.LsqEnqIO
16 17 18 19

class CtrlToIntBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.IntExuCnt, DecoupledIO(new MicroOp))
  val enqIqData = Vec(exuParameters.IntExuCnt, Output(new ExuInput))
Y
Yinan Xu 已提交
20
  val readRf = Vec(NRIntReadPorts, Flipped(new RfReadPort))
Y
Yinan Xu 已提交
21
  val redirect = ValidIO(new Redirect)
22 23 24 25 26
}

class CtrlToFpBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.FpExuCnt, DecoupledIO(new MicroOp))
  val enqIqData = Vec(exuParameters.FpExuCnt, Output(new ExuInput))
Y
Yinan Xu 已提交
27
  val readRf = Vec(NRFpReadPorts, Flipped(new RfReadPort))
Y
Yinan Xu 已提交
28
  val redirect = ValidIO(new Redirect)
29 30 31 32 33
}

class CtrlToLsBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.LsExuCnt, DecoupledIO(new MicroOp))
  val enqIqData = Vec(exuParameters.LsExuCnt, Output(new ExuInput))
Y
Yinan Xu 已提交
34
  val enqLsq = Flipped(new LsqEnqIO)
Y
Yinan Xu 已提交
35
  val redirect = ValidIO(new Redirect)
36 37
}

Y
Yinan Xu 已提交
38
class CtrlBlock extends XSModule with HasCircularQueuePtrHelper {
39 40 41 42 43 44 45 46
  val io = IO(new Bundle {
    val frontend = Flipped(new FrontendToBackendIO)
    val fromIntBlock = Flipped(new IntBlockToCtrlIO)
    val fromFpBlock = Flipped(new FpBlockToCtrlIO)
    val fromLsBlock = Flipped(new LsBlockToCtrlIO)
    val toIntBlock = new CtrlToIntBlockIO
    val toFpBlock = new CtrlToFpBlockIO
    val toLsBlock = new CtrlToLsBlockIO
Y
Yinan Xu 已提交
47 48 49 50 51 52
    val roqio = new Bundle {
      // to int block
      val toCSR = new RoqCSRIO
      val exception = ValidIO(new MicroOp)
      val isInterrupt = Output(Bool())
      // to mem block
Y
Yinan Xu 已提交
53
      val commits = new RoqCommitIO
Y
Yinan Xu 已提交
54 55
      val roqDeqPtr = Output(new RoqPtr)
    }
56 57 58 59 60
  })

  val decode = Module(new DecodeStage)
  val brq = Module(new Brq)
  val rename = Module(new Rename)
61
  val dispatch = Module(new Dispatch)
Y
Yinan Xu 已提交
62 63
  val intBusyTable = Module(new BusyTable(NRIntReadPorts, NRIntWritePorts))
  val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts))
64

L
LinJiawei 已提交
65
  val roqWbSize = NRIntWritePorts + NRFpWritePorts + exuParameters.StuCnt + 1
66 67

  val roq = Module(new Roq(roqWbSize))
68

69 70 71
  // When replay and mis-prediction have the same roqIdx,
  // mis-prediction should have higher priority, since mis-prediction flushes the load instruction.
  // Thus, only when mis-prediction roqIdx is after replay roqIdx, replay should be valid.
Y
Yinan Xu 已提交
72 73 74 75
  val brqIsAfterLsq = isAfter(brq.io.redirectOut.bits.roqIdx, io.fromLsBlock.replay.bits.roqIdx)
  val redirectArb = Mux(io.fromLsBlock.replay.valid && (!brq.io.redirectOut.valid || brqIsAfterLsq),
    io.fromLsBlock.replay.bits, brq.io.redirectOut.bits)
  val redirectValid = roq.io.redirectOut.valid || brq.io.redirectOut.valid || io.fromLsBlock.replay.valid
76
  val redirect = Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits, redirectArb)
77

78
  io.frontend.redirect.valid := RegNext(redirectValid)
79
  io.frontend.redirect.bits := RegNext(Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits.target, redirectArb.target))
L
Lingrui98 已提交
80
  io.frontend.cfiUpdateInfo <> brq.io.cfiInfo
Y
Yinan Xu 已提交
81

82 83 84 85
  decode.io.in <> io.frontend.cfVec
  decode.io.toBrq <> brq.io.enqReqs
  decode.io.brTags <> brq.io.brTags

Y
Yinan Xu 已提交
86 87
  brq.io.redirect.valid <> redirectValid
  brq.io.redirect.bits <> redirect
L
LinJiawei 已提交
88 89
  brq.io.bcommit <> roq.io.bcommit
  brq.io.enqReqs <> decode.io.toBrq
Y
Yinan Xu 已提交
90
  brq.io.exuRedirectWb <> io.fromIntBlock.exuRedirect
L
LinJiawei 已提交
91

92
  // pipeline between decode and dispatch
93
  val lastCycleRedirect = RegNext(redirectValid)
94
  for (i <- 0 until RenameWidth) {
95
    PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready, redirectValid || lastCycleRedirect)
96
  }
97

Y
Yinan Xu 已提交
98 99
  rename.io.redirect.valid <> redirectValid
  rename.io.redirect.bits <> redirect
100 101
  rename.io.roqCommits <> roq.io.commits
  rename.io.out <> dispatch.io.fromRename
102
  rename.io.renameBypass <> dispatch.io.renameBypass
103

Y
Yinan Xu 已提交
104 105
  dispatch.io.redirect.valid <> redirectValid
  dispatch.io.redirect.bits <> redirect
106
  dispatch.io.enqRoq <> roq.io.enq
107
  dispatch.io.enqLsq <> io.toLsBlock.enqLsq
Y
Yinan Xu 已提交
108 109
  dispatch.io.readIntRf <> io.toIntBlock.readRf
  dispatch.io.readFpRf <> io.toFpBlock.readRf
Y
Yinan Xu 已提交
110 111
  dispatch.io.allocPregs.zipWithIndex.foreach { case (preg, i) =>
    intBusyTable.io.allocPregs(i).valid := preg.isInt
112
    fpBusyTable.io.allocPregs(i).valid := preg.isFp
Y
Yinan Xu 已提交
113 114 115
    intBusyTable.io.allocPregs(i).bits := preg.preg
    fpBusyTable.io.allocPregs(i).bits := preg.preg
  }
116
  dispatch.io.numExist <> io.fromIntBlock.numExist ++ io.fromFpBlock.numExist ++ io.fromLsBlock.numExist
Y
Yinan Xu 已提交
117 118
  dispatch.io.enqIQCtrl <> io.toIntBlock.enqIqCtrl ++ io.toFpBlock.enqIqCtrl ++ io.toLsBlock.enqIqCtrl
  dispatch.io.enqIQData <> io.toIntBlock.enqIqData ++ io.toFpBlock.enqIqData ++ io.toLsBlock.enqIqData
119

L
LinJiawei 已提交
120

121
  val flush = redirectValid && RedirectLevel.isUnconditional(redirect.level)
Y
Yinan Xu 已提交
122 123 124 125 126 127 128 129 130 131 132 133 134 135 136
  fpBusyTable.io.flush := flush
  intBusyTable.io.flush := flush
  for((wb, setPhyRegRdy) <- io.fromIntBlock.wbRegs.zip(intBusyTable.io.wbPregs)){
    setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.rfWen && (wb.bits.uop.ctrl.ldest =/= 0.U)
    setPhyRegRdy.bits := wb.bits.uop.pdest
  }
  for((wb, setPhyRegRdy) <- io.fromFpBlock.wbRegs.zip(fpBusyTable.io.wbPregs)){
    setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.fpWen
    setPhyRegRdy.bits := wb.bits.uop.pdest
  }
  intBusyTable.io.rfReadAddr <> dispatch.io.readIntRf.map(_.addr)
  intBusyTable.io.pregRdy <> dispatch.io.intPregRdy
  fpBusyTable.io.rfReadAddr <> dispatch.io.readFpRf.map(_.addr)
  fpBusyTable.io.pregRdy <> dispatch.io.fpPregRdy

Y
Yinan Xu 已提交
137
  roq.io.redirect.valid := brq.io.redirectOut.valid || io.fromLsBlock.replay.valid
138
  roq.io.redirect.bits <> redirectArb
L
LinJiawei 已提交
139 140 141 142 143 144 145 146 147
  roq.io.exeWbResults.take(roqWbSize-1).zip(
    io.fromIntBlock.wbRegs ++ io.fromFpBlock.wbRegs ++ io.fromLsBlock.stOut
  ).foreach{
    case(x, y) =>
      x.bits := y.bits
      x.valid := y.valid && !y.bits.redirectValid
  }
  roq.io.exeWbResults.last := brq.io.out

Y
Yinan Xu 已提交
148 149 150 151 152 153
  io.toIntBlock.redirect.valid := redirectValid
  io.toIntBlock.redirect.bits := redirect
  io.toFpBlock.redirect.valid := redirectValid
  io.toFpBlock.redirect.bits := redirect
  io.toLsBlock.redirect.valid := redirectValid
  io.toLsBlock.redirect.bits := redirect
154

Y
Yinan Xu 已提交
155 156
  // roq to int block
  io.roqio.toCSR <> roq.io.csr
157
  io.roqio.exception.valid := roq.io.redirectOut.valid && roq.io.redirectOut.bits.isException()
Y
Yinan Xu 已提交
158
  io.roqio.exception.bits := roq.io.exception
159
  io.roqio.isInterrupt := roq.io.redirectOut.bits.interrupt
Y
Yinan Xu 已提交
160 161 162
  // roq to mem block
  io.roqio.roqDeqPtr := roq.io.roqDeqPtr
  io.roqio.commits := roq.io.commits
163
}