SoC.scala 1.1 KB
Newer Older
Z
Zihao Yu 已提交
1 2 3 4
package system

import noop.{NOOP, NOOPConfig}
import bus.axi4.{AXI4, AXI4Lite}
5
import bus.simplebus._
Z
Zihao Yu 已提交
6 7

import chisel3._
8
import chisel3.util.experimental.BoringUtils
Z
Zihao Yu 已提交
9 10 11

class NOOPSoC(implicit val p: NOOPConfig) extends Module {
  val io = IO(new Bundle{
12
    val mem = new AXI4
13
    val mmio = (if (p.FPGAPlatform) { new AXI4Lite } else { new SimpleBusUC })
14
    val mtip = Input(Bool())
Z
Zihao Yu 已提交
15
    val meip = Input(Bool())
Z
Zihao Yu 已提交
16 17 18
  })

  val noop = Module(new NOOP)
19 20 21 22 23 24 25 26 27 28 29
  val cohMg = Module(new CoherenceManager)
  val xbar = Module(new SimpleBusCrossbarNto1(2))
  cohMg.io.in <> noop.io.imem.mem
  noop.io.dmem.coh <> cohMg.io.out.coh
  xbar.io.in(0) <> cohMg.io.out.mem
  xbar.io.in(1) <> noop.io.dmem.mem
  io.mem <> xbar.io.out.toAXI4()

  noop.io.imem.coh.resp.ready := true.B
  noop.io.imem.coh.req.valid := false.B
  noop.io.imem.coh.req.bits := DontCare
Z
Zihao Yu 已提交
30

31
  if (p.FPGAPlatform) io.mmio <> noop.io.mmio.toAXI4Lite()
Z
Zihao Yu 已提交
32
  else io.mmio <> noop.io.mmio
33

Z
Zihao Yu 已提交
34
  val mtipSync = RegNext(RegNext(io.mtip))
Z
Zihao Yu 已提交
35
  val meipSync = RegNext(RegNext(io.meip))
Z
Zihao Yu 已提交
36
  BoringUtils.addSource(mtipSync, "mtip")
Z
Zihao Yu 已提交
37
  BoringUtils.addSource(meipSync, "meip")
Z
Zihao Yu 已提交
38
}