MissQueue.scala 23.0 KB
Newer Older
L
Lemover 已提交
1 2
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
Y
Yinan Xu 已提交
3
* Copyright (c) 2020-2021 Peng Cheng Laboratory
L
Lemover 已提交
4 5 6 7 8 9 10 11 12 13 14 15 16
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

A
Allen 已提交
17 18
package xiangshan.cache

19
import chipsalliance.rocketchip.config.Parameters
A
Allen 已提交
20 21
import chisel3._
import chisel3.util._
22
import utils._
23
import freechips.rocketchip.tilelink._
Z
zhanglinjuan 已提交
24 25 26
import freechips.rocketchip.tilelink.ClientStates._
import freechips.rocketchip.tilelink.MemoryOpCategories._
import freechips.rocketchip.tilelink.TLPermissions._
27
import difftest._
28
import huancun.{AliasKey, DirtyKey, PreferCacheKey, PrefetchKey}
A
Allen 已提交
29

Z
zhanglinjuan 已提交
30
class MissReq(implicit p: Parameters) extends DCacheBundle {
A
Allen 已提交
31
  val source = UInt(sourceTypeWidth.W)
Z
zhanglinjuan 已提交
32 33 34 35
  val cmd = UInt(M_SZ.W)
  val addr = UInt(PAddrBits.W)
  val vaddr = UInt(VAddrBits.W)
  val way_en = UInt(DCacheWays.W)
A
Allen 已提交
36 37

  // store
Z
zhanglinjuan 已提交
38 39
  val store_data = UInt((cfg.blockBytes * 8).W)
  val store_mask = UInt(cfg.blockBytes.W)
A
Allen 已提交
40 41 42 43

  // which word does amo work on?
  val word_idx = UInt(log2Up(blockWords).W)
  val amo_data = UInt(DataBits.W)
Z
zhanglinjuan 已提交
44
  val amo_mask = UInt((DataBits / 8).W)
A
Allen 已提交
45

Z
zhanglinjuan 已提交
46 47 48 49
  val req_coh = new ClientMetadata
  val replace_coh = new ClientMetadata
  val replace_tag = UInt(tagBits.W)
  val id = UInt(reqIdWidth.W)
A
Allen 已提交
50

W
William Wang 已提交
51 52 53 54 55 56 57 58 59 60
  // For now, miss queue entry req is actually valid when req.valid && !cancel
  // * req.valid is fast to generate
  // * cancel is slow to generate, it will not be used until the last moment
  //
  // cancel may come from the following sources:
  // 1. miss req blocked by writeback queue: 
  //      a writeback req of the same address is in progress
  // 2. pmp check failed
  val cancel = Bool() // cancel is slow to generate, it will cancel missreq.valid

Z
zhanglinjuan 已提交
61
  def isLoad = source === LOAD_SOURCE.U
62
  def isStore = source === STORE_SOURCE.U
Z
zhanglinjuan 已提交
63 64
  def isAMO = source === AMO_SOURCE.U
  def hit = req_coh.isValid()
A
Allen 已提交
65 66
}

67
class MissEntry(edge: TLEdgeOut)(implicit p: Parameters) extends DCacheModule {
Z
zhanglinjuan 已提交
68
  val io = IO(new Bundle() {
A
Allen 已提交
69
    // MSHR ID
Z
zhanglinjuan 已提交
70
    val id = Input(UInt(log2Up(cfg.nMissEntries).W))
A
Allen 已提交
71
    // client requests
W
William Wang 已提交
72
    val req    = Flipped(ValidIO(new MissReq))
W
William Wang 已提交
73 74
    // allocate this entry for new req
    val primary_valid = Input(Bool())
A
Allen 已提交
75 76 77 78 79 80
    // this entry is free and can be allocated to new reqs
    val primary_ready = Output(Bool())
    // this entry is busy, but it can merge the new req
    val secondary_ready = Output(Bool())
    // this entry is busy and it can not merge the new req
    val secondary_reject = Output(Bool())
W
William Wang 已提交
81

Z
zhanglinjuan 已提交
82
    val refill_to_ldq = ValidIO(new Refill)
A
Allen 已提交
83 84 85

    // bus
    val mem_acquire = DecoupledIO(new TLBundleA(edge.bundle))
Z
zhanglinjuan 已提交
86 87
    val mem_grant = Flipped(DecoupledIO(new TLBundleD(edge.bundle)))
    val mem_finish = DecoupledIO(new TLBundleE(edge.bundle))
A
Allen 已提交
88

Z
zhanglinjuan 已提交
89 90
    // refill pipe
    val refill_pipe_req = DecoupledIO(new RefillPipeReq)
91
    val refill_pipe_resp = Input(Bool())
Z
zhanglinjuan 已提交
92 93

    // replace pipe
94
    val replace_pipe_req = DecoupledIO(new MainPipeReq)
Z
zhanglinjuan 已提交
95 96 97 98 99
    val replace_pipe_resp = Input(Bool())

    // main pipe: amo miss
    val main_pipe_req = DecoupledIO(new MainPipeReq)
    val main_pipe_resp = Input(Bool())
100 101

    val block_addr = ValidIO(UInt(PAddrBits.W))
Z
zhanglinjuan 已提交
102 103 104 105 106 107

    val debug_early_replace = ValidIO(new Bundle() {
      // info about the block that has been replaced
      val idx = UInt(idxBits.W) // vaddr
      val tag = UInt(tagBits.W) // paddr
    })
108
  })
A
Allen 已提交
109

W
William Wang 已提交
110 111
  assert(!RegNext(io.primary_valid && !io.primary_ready))

A
Allen 已提交
112
  val req = Reg(new MissReq)
113
  val req_valid = RegInit(false.B)
Z
zhanglinjuan 已提交
114
  val set = addr_to_dcache_set(req.vaddr)
A
Allen 已提交
115

116 117
  val s_acquire = RegInit(true.B)
  val s_grantack = RegInit(true.B)
Z
zhanglinjuan 已提交
118 119 120 121
  val s_replace_req = RegInit(true.B)
  val s_refill = RegInit(true.B)
  val s_mainpipe_req = RegInit(true.B)

122 123
  val w_grantfirst = RegInit(true.B)
  val w_grantlast = RegInit(true.B)
Z
zhanglinjuan 已提交
124
  val w_replace_resp = RegInit(true.B)
125
  val w_refill_resp = RegInit(true.B)
Z
zhanglinjuan 已提交
126
  val w_mainpipe_resp = RegInit(true.B)
A
Allen 已提交
127

128
  val release_entry = s_grantack && w_refill_resp && w_mainpipe_resp
129 130

  val acquire_not_sent = !s_acquire && !io.mem_acquire.ready
Z
zhanglinjuan 已提交
131
  val data_not_refilled = !w_grantfirst
A
Allen 已提交
132

133 134
  val error = RegInit(false.B)

Z
zhanglinjuan 已提交
135
  val should_refill_data_reg =  Reg(Bool())
136
  val should_refill_data = WireInit(should_refill_data_reg)
A
Allen 已提交
137

138
  val full_overwrite = req.isStore && req.store_mask.andR
A
Allen 已提交
139

140 141
  val (_, _, refill_done, refill_count) = edge.count(io.mem_grant)
  val grant_param = Reg(UInt(TLPermissions.bdWidth.W))
A
Allen 已提交
142

W
William Wang 已提交
143 144 145 146 147 148
  when (release_entry && req_valid) {
    req_valid := false.B
  }

  val primary_fire = WireInit(io.req.valid && io.primary_ready && io.primary_valid && !io.req.bits.cancel)
  when (primary_fire) {
149 150
    req_valid := true.B
    req := io.req.bits
151
    req.addr := get_block_addr(io.req.bits.addr)
A
Allen 已提交
152

153 154
    s_acquire := false.B
    s_grantack := false.B
Z
zhanglinjuan 已提交
155

156 157
    w_grantfirst := false.B
    w_grantlast := false.B
A
Allen 已提交
158

Z
zhanglinjuan 已提交
159 160
    when (!io.req.bits.isAMO) {
      s_refill := false.B
161
      w_refill_resp := false.B
Z
zhanglinjuan 已提交
162 163 164 165 166 167
    }

    when (!io.req.bits.hit && io.req.bits.replace_coh.isValid() && !io.req.bits.isAMO) {
      s_replace_req := false.B
      w_replace_resp := false.B
    }
168

Z
zhanglinjuan 已提交
169 170 171 172 173 174
    when (io.req.bits.isAMO) {
      s_mainpipe_req := false.B
      w_mainpipe_resp := false.B
    }

    should_refill_data_reg := io.req.bits.isLoad
175
    error := false.B
A
Allen 已提交
176 177
  }

W
William Wang 已提交
178 179
  val secondary_fire = WireInit(io.req.valid && io.secondary_ready && !io.req.bits.cancel)
  when (secondary_fire) {
Z
zhanglinjuan 已提交
180 181
    assert(io.req.bits.req_coh.state <= req.req_coh.state)
    assert(!(io.req.bits.isAMO || req.isAMO))
A
Allen 已提交
182
    // use the most uptodate meta
Z
zhanglinjuan 已提交
183
    req.req_coh := io.req.bits.req_coh
A
Allen 已提交
184

185 186
    when (io.req.bits.isStore) {
      req := io.req.bits
Z
zhanglinjuan 已提交
187 188 189 190
      req.addr := get_block_addr(io.req.bits.addr)
      req.way_en := req.way_en
      req.replace_coh := req.replace_coh
      req.replace_tag := req.replace_tag
A
Allen 已提交
191 192
    }

193
    should_refill_data := should_refill_data_reg || io.req.bits.isLoad
194
    should_refill_data_reg := should_refill_data
A
Allen 已提交
195 196
  }

197 198 199
  when (io.mem_acquire.fire()) {
    s_acquire := true.B
  }
A
Allen 已提交
200

201 202 203 204 205 206 207 208 209 210 211 212 213 214
  val refill_data = Reg(Vec(blockRows, UInt(rowBits.W)))
  val refill_data_raw = Reg(Vec(blockBytes/beatBytes, UInt(beatBits.W)))
  val new_data = Wire(Vec(blockRows, UInt(rowBits.W)))
  val new_mask = Wire(Vec(blockRows, UInt(rowBytes.W)))
  def mergePutData(old_data: UInt, new_data: UInt, wmask: UInt): UInt = {
    val full_wmask = FillInterleaved(8, wmask)
    (~full_wmask & old_data | full_wmask & new_data)
  }
  for (i <- 0 until blockRows) {
    new_data(i) := req.store_data(rowBits * (i + 1) - 1, rowBits * i)
    // we only need to merge data for Store
    new_mask(i) := Mux(req.isStore, req.store_mask(rowBytes * (i + 1) - 1, rowBytes * i), 0.U)
  }
  val hasData = RegInit(true.B)
215
  val isDirty = RegInit(false.B)
216 217 218 219 220 221 222 223 224 225 226 227 228 229
  when (io.mem_grant.fire()) {
    w_grantfirst := true.B
    grant_param := io.mem_grant.bits.param
    when (edge.hasData(io.mem_grant.bits)) {
      // GrantData
      for (i <- 0 until beatRows) {
        val idx = (refill_count << log2Floor(beatRows)) + i.U
        val grant_row = io.mem_grant.bits.data(rowBits * (i + 1) - 1, rowBits * i)
        refill_data(idx) := mergePutData(grant_row, new_data(idx), new_mask(idx))
      }
      w_grantlast := w_grantlast || refill_done
      hasData := true.B
    }.otherwise {
      // Grant
Z
zhanglinjuan 已提交
230
      assert(full_overwrite)
231 232 233 234 235
      for (i <- 0 until blockRows) {
        refill_data(i) := new_data(i)
      }
      w_grantlast := true.B
      hasData := false.B
A
Allen 已提交
236 237
    }

238 239
    error := io.mem_grant.bits.denied || io.mem_grant.bits.corrupt || error

240
    refill_data_raw(refill_count) := io.mem_grant.bits.data
241
    isDirty := io.mem_grant.bits.echo.lift(DirtyKey).getOrElse(false.B)
242
  }
A
Allen 已提交
243

244 245 246
  when (io.mem_finish.fire()) {
    s_grantack := true.B
  }
A
Allen 已提交
247

Z
zhanglinjuan 已提交
248 249 250 251 252 253 254 255 256 257
  when (io.replace_pipe_req.fire()) {
    s_replace_req := true.B
  }

  when (io.replace_pipe_resp) {
    w_replace_resp := true.B
  }

  when (io.refill_pipe_req.fire()) {
    s_refill := true.B
A
Allen 已提交
258 259
  }

260 261 262 263
  when (io.refill_pipe_resp) {
    w_refill_resp := true.B
  }

Z
zhanglinjuan 已提交
264 265
  when (io.main_pipe_req.fire()) {
    s_mainpipe_req := true.B
A
Allen 已提交
266 267
  }

Z
zhanglinjuan 已提交
268 269 270
  when (io.main_pipe_resp) {
    w_mainpipe_resp := true.B
  }
271 272

  def before_read_sent_can_merge(new_req: MissReq): Bool = {
Z
zhanglinjuan 已提交
273
    acquire_not_sent && req.isLoad && (new_req.isLoad || new_req.isStore)
274
  }
A
Allen 已提交
275

276
  def before_data_refill_can_merge(new_req: MissReq): Bool = {
Z
zhanglinjuan 已提交
277
    data_not_refilled && (req.isLoad || req.isStore) && new_req.isLoad
278 279 280
  }

  def should_merge(new_req: MissReq): Bool = {
Z
zhanglinjuan 已提交
281
    val block_match = get_block(req.addr) === get_block(new_req.addr)
Z
zhanglinjuan 已提交
282 283
    block_match &&
    (before_read_sent_can_merge(new_req) ||
Z
zhanglinjuan 已提交
284
      before_data_refill_can_merge(new_req))
A
Allen 已提交
285 286
  }

287
  def should_reject(new_req: MissReq): Bool = {
Z
zhanglinjuan 已提交
288
    val block_match = get_block(req.addr) === get_block(new_req.addr)
Z
zhanglinjuan 已提交
289 290 291 292 293 294
    val set_match = set === addr_to_dcache_set(new_req.vaddr)

    req_valid &&
      Mux(
        block_match,
        !before_read_sent_can_merge(new_req) &&
Z
zhanglinjuan 已提交
295
          !before_data_refill_can_merge(new_req),
Z
zhanglinjuan 已提交
296 297
        set_match && new_req.way_en === req.way_en
      )
298 299 300 301 302 303 304
  }

  io.primary_ready := !req_valid
  io.secondary_ready := should_merge(io.req.bits)
  io.secondary_reject := should_reject(io.req.bits)

  // should not allocate, merge or reject at the same time
Z
zhanglinjuan 已提交
305 306
  assert(RegNext(PopCount(Seq(io.primary_ready, io.secondary_ready, io.secondary_reject)) <= 1.U))

307 308 309 310
  val refill_data_splited = WireInit(VecInit(Seq.tabulate(cfg.blockBytes * 8 / l1BusDataWidth)(i => {
    val data = refill_data.asUInt
    data((i + 1) * l1BusDataWidth - 1, i * l1BusDataWidth)
  })))
Z
zhanglinjuan 已提交
311
  io.refill_to_ldq.valid := RegNext(!w_grantlast && io.mem_grant.fire()) && should_refill_data_reg
Z
zhanglinjuan 已提交
312 313
  io.refill_to_ldq.bits.addr := RegNext(req.addr + (refill_count << refillOffBits))
  io.refill_to_ldq.bits.data := refill_data_splited(RegNext(refill_count))
314
  io.refill_to_ldq.bits.error := RegNext(io.mem_grant.bits.corrupt || io.mem_grant.bits.denied)
Z
zhanglinjuan 已提交
315 316 317
  io.refill_to_ldq.bits.refill_done := RegNext(refill_done && io.mem_grant.fire())
  io.refill_to_ldq.bits.hasdata := hasData
  io.refill_to_ldq.bits.data_raw := refill_data_raw.asUInt
318 319

  io.mem_acquire.valid := !s_acquire
Z
zhanglinjuan 已提交
320
  val grow_param = req.req_coh.onAccess(req.cmd)._2
321 322 323 324 325 326 327 328 329 330 331 332 333
  val acquireBlock = edge.AcquireBlock(
    fromSource = io.id,
    toAddress = req.addr,
    lgSize = (log2Up(cfg.blockBytes)).U,
    growPermissions = grow_param
  )._2
  val acquirePerm = edge.AcquirePerm(
    fromSource = io.id,
    toAddress = req.addr,
    lgSize = (log2Up(cfg.blockBytes)).U,
    growPermissions = grow_param
  )._2
  io.mem_acquire.bits := Mux(full_overwrite, acquirePerm, acquireBlock)
334 335 336 337 338 339
  // resolve cache alias by L2
  io.mem_acquire.bits.user.lift(AliasKey).foreach( _ := req.vaddr(13, 12))
  // trigger prefetch
  io.mem_acquire.bits.user.lift(PrefetchKey).foreach(_ := true.B)
  // prefer not to cache data in L2 by default
  io.mem_acquire.bits.user.lift(PreferCacheKey).foreach(_ := false.B)
Z
zhanglinjuan 已提交
340 341
  require(nSets <= 256)

342
  io.mem_grant.ready := !w_grantlast && s_acquire
Z
zhanglinjuan 已提交
343

344
  val grantack = RegEnable(edge.GrantAck(io.mem_grant.bits), io.mem_grant.fire())
Z
zhanglinjuan 已提交
345 346
  assert(RegNext(!io.mem_grant.fire() || edge.isRequest(io.mem_grant.bits)))
  io.mem_finish.valid := !s_grantack && w_grantfirst
347 348
  io.mem_finish.bits := grantack

Z
zhanglinjuan 已提交
349 350
  io.replace_pipe_req.valid := !s_replace_req
  val replace = io.replace_pipe_req.bits
351 352
  replace := DontCare
  replace.miss := false.B
Z
zhanglinjuan 已提交
353
  replace.miss_id := io.id
354 355 356 357 358 359 360 361 362
  replace.miss_dirty := false.B
  replace.probe := false.B
  replace.probe_need_data := false.B
  replace.source := LOAD_SOURCE.U
  replace.vaddr := req.vaddr // only untag bits are needed
  replace.addr := Cat(req.replace_tag, 0.U(pgUntagBits.W)) // only tag bits are needed
  replace.store_mask := 0.U
  replace.replace := true.B
  replace.replace_way_en := req.way_en
363
  replace.error := false.B
Z
zhanglinjuan 已提交
364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391

  io.refill_pipe_req.valid := !s_refill && w_replace_resp && w_grantlast
  val refill = io.refill_pipe_req.bits
  refill.source := req.source
  refill.addr := req.addr
  refill.way_en := req.way_en
  refill.wmask := Mux(
    hasData || req.isLoad,
    ~0.U(DCacheBanks.W),
    VecInit((0 until DCacheBanks).map(i => get_mask_of_bank(i, req.store_mask).orR)).asUInt
  )
  refill.data := refill_data.asTypeOf((new RefillPipeReq).data)
  refill.miss_id := io.id
  refill.id := req.id
  def missCohGen(cmd: UInt, param: UInt, dirty: Bool) = {
    val c = categorize(cmd)
    MuxLookup(Cat(c, param, dirty), Nothing, Seq(
      //(effect param) -> (next)
      Cat(rd, toB, false.B)  -> Branch,
      Cat(rd, toB, true.B)   -> Branch,
      Cat(rd, toT, false.B)  -> Trunk,
      Cat(rd, toT, true.B)   -> Dirty,
      Cat(wi, toT, false.B)  -> Trunk,
      Cat(wi, toT, true.B)   -> Dirty,
      Cat(wr, toT, false.B)  -> Dirty,
      Cat(wr, toT, true.B)   -> Dirty))
  }
  refill.meta.coh := ClientMetadata(missCohGen(req.cmd, grant_param, isDirty))
392
  refill.error := error
Z
zhanglinjuan 已提交
393 394 395 396 397 398 399 400
  refill.alias := req.vaddr(13, 12) // TODO

  io.main_pipe_req.valid := !s_mainpipe_req && w_grantlast
  io.main_pipe_req.bits := DontCare
  io.main_pipe_req.bits.miss := true.B
  io.main_pipe_req.bits.miss_id := io.id
  io.main_pipe_req.bits.miss_param := grant_param
  io.main_pipe_req.bits.miss_dirty := isDirty
401
  io.main_pipe_req.bits.miss_way_en := req.way_en
Z
zhanglinjuan 已提交
402 403 404 405 406 407 408 409 410 411
  io.main_pipe_req.bits.probe := false.B
  io.main_pipe_req.bits.source := req.source
  io.main_pipe_req.bits.cmd := req.cmd
  io.main_pipe_req.bits.vaddr := req.vaddr
  io.main_pipe_req.bits.addr := req.addr
  io.main_pipe_req.bits.store_data := refill_data.asUInt
  io.main_pipe_req.bits.store_mask := ~0.U(blockBytes.W)
  io.main_pipe_req.bits.word_idx := req.word_idx
  io.main_pipe_req.bits.amo_data := req.amo_data
  io.main_pipe_req.bits.amo_mask := req.amo_mask
412
  io.main_pipe_req.bits.error := error
Z
zhanglinjuan 已提交
413 414
  io.main_pipe_req.bits.id := req.id

415
  io.block_addr.valid := req_valid && w_grantlast && !w_refill_resp
416
  io.block_addr.bits := req.addr
417

Z
zhanglinjuan 已提交
418 419 420 421
  io.debug_early_replace.valid := BoolStopWatch(io.replace_pipe_resp, io.refill_pipe_req.fire())
  io.debug_early_replace.bits.idx := addr_to_dcache_set(req.vaddr)
  io.debug_early_replace.bits.tag := req.replace_tag

W
William Wang 已提交
422 423
  XSPerfAccumulate("miss_req_primary", primary_fire)
  XSPerfAccumulate("miss_req_merged", secondary_fire)
Z
zhanglinjuan 已提交
424 425
  XSPerfAccumulate("load_miss_penalty_to_use",
    should_refill_data &&
W
William Wang 已提交
426
      BoolStopWatch(primary_fire, io.refill_to_ldq.valid, true)
Z
zhanglinjuan 已提交
427 428
  )
  XSPerfAccumulate("main_pipe_penalty", BoolStopWatch(io.main_pipe_req.fire(), io.main_pipe_resp))
429
  XSPerfAccumulate("penalty_blocked_by_channel_A", io.mem_acquire.valid && !io.mem_acquire.ready)
430
  XSPerfAccumulate("penalty_waiting_for_channel_D", s_acquire && !w_grantlast && !io.mem_grant.valid)
Z
zhanglinjuan 已提交
431
  XSPerfAccumulate("penalty_waiting_for_channel_E", io.mem_finish.valid && !io.mem_finish.ready)
432
  XSPerfAccumulate("penalty_from_grant_to_refill", !w_refill_resp && w_grantlast)
W
William Wang 已提交
433
  XSPerfAccumulate("soft_prefetch_number", primary_fire && io.req.bits.source === SOFT_PREFETCH.U)
434

W
William Wang 已提交
435
  val (mshr_penalty_sample, mshr_penalty) = TransactionLatencyCounter(RegNext(primary_fire), release_entry)
W
William Wang 已提交
436 437
  XSPerfHistogram("miss_penalty", mshr_penalty, mshr_penalty_sample, 0, 20, 1, true, true)
  XSPerfHistogram("miss_penalty", mshr_penalty, mshr_penalty_sample, 20, 100, 10, true, false)
438

W
William Wang 已提交
439
  val load_miss_begin = primary_fire && io.req.bits.isLoad
440
  val refill_finished = RegNext(!w_grantlast && refill_done) && should_refill_data
441
  val (load_miss_penalty_sample, load_miss_penalty) = TransactionLatencyCounter(load_miss_begin, refill_finished) // not real refill finish time
W
William Wang 已提交
442 443
  XSPerfHistogram("load_miss_penalty_to_use", load_miss_penalty, load_miss_penalty_sample, 0, 20, 1, true, true)
  XSPerfHistogram("load_miss_penalty_to_use", load_miss_penalty, load_miss_penalty_sample, 20, 100, 10, true, false)
444 445

  val (a_to_d_penalty_sample, a_to_d_penalty) = TransactionLatencyCounter(io.mem_acquire.fire(), io.mem_grant.fire() && refill_done)
W
William Wang 已提交
446 447
  XSPerfHistogram("a_to_d_penalty", a_to_d_penalty, a_to_d_penalty_sample, 0, 20, 1, true, true)
  XSPerfHistogram("a_to_d_penalty", a_to_d_penalty, a_to_d_penalty_sample, 20, 100, 10, true, false)
A
Allen 已提交
448 449
}

450
class MissQueue(edge: TLEdgeOut)(implicit p: Parameters) extends DCacheModule with HasPerfEvents {
A
Allen 已提交
451
  val io = IO(new Bundle {
J
Jiawei Lin 已提交
452
    val hartId = Input(UInt(8.W))
Z
zhanglinjuan 已提交
453 454 455 456 457 458
    val req = Flipped(DecoupledIO(new MissReq))
    val refill_to_ldq = ValidIO(new Refill)

    val mem_acquire = DecoupledIO(new TLBundleA(edge.bundle))
    val mem_grant = Flipped(DecoupledIO(new TLBundleD(edge.bundle)))
    val mem_finish = DecoupledIO(new TLBundleE(edge.bundle))
A
Allen 已提交
459

Z
zhanglinjuan 已提交
460
    val refill_pipe_req = DecoupledIO(new RefillPipeReq)
461
    val refill_pipe_resp = Flipped(ValidIO(UInt(log2Up(cfg.nMissEntries).W)))
A
Allen 已提交
462

463 464
    val replace_pipe_req = DecoupledIO(new MainPipeReq)
    val replace_pipe_resp = Flipped(ValidIO(UInt(log2Up(cfg.nMissEntries).W)))
Z
zhanglinjuan 已提交
465 466 467

    val main_pipe_req = DecoupledIO(new MainPipeReq)
    val main_pipe_resp = Flipped(ValidIO(new AtomicsResp))
468 469

    // block probe
Z
zhanglinjuan 已提交
470
    val probe_addr = Input(UInt(PAddrBits.W))
471
    val probe_block = Output(Bool())
472 473

    val full = Output(Bool())
A
Allen 已提交
474

Z
zhanglinjuan 已提交
475 476 477 478 479 480 481 482 483 484
    // only for performance counter
    // This is valid when an mshr has finished replacing a block (w_replace_resp),
    // but hasn't received Grant from L2 (!w_grantlast)
    val debug_early_replace = Vec(cfg.nMissEntries, ValidIO(new Bundle() {
      // info about the block that has been replaced
      val idx = UInt(idxBits.W) // vaddr
      val tag = UInt(tagBits.W) // paddr
    }))
  })
  
485 486
  // 128KBL1: FIXME: provide vaddr for l2

Z
zhanglinjuan 已提交
487
  val entries = Seq.fill(cfg.nMissEntries)(Module(new MissEntry(edge)))
A
Allen 已提交
488

Z
zhanglinjuan 已提交
489 490 491 492
  val primary_ready_vec = entries.map(_.io.primary_ready)
  val secondary_ready_vec = entries.map(_.io.secondary_ready)
  val secondary_reject_vec = entries.map(_.io.secondary_reject)
  val probe_block_vec = entries.map { case e => e.io.block_addr.valid && e.io.block_addr.bits === io.probe_addr }
A
Allen 已提交
493

Z
zhanglinjuan 已提交
494
  val merge = Cat(secondary_ready_vec).orR
495
  val reject = Cat(secondary_reject_vec).orR
Z
zhanglinjuan 已提交
496 497
  val alloc = !reject && !merge && Cat(primary_ready_vec).orR
  val accept = alloc || merge
A
Allen 已提交
498

Z
zhanglinjuan 已提交
499 500 501 502 503 504 505
  assert(RegNext(PopCount(secondary_ready_vec) <= 1.U))
//  assert(RegNext(PopCount(secondary_reject_vec) <= 1.U))
  // It is possible that one mshr wants to merge a req, while another mshr wants to reject it.
  // That is, a coming req has the same paddr as that of mshr_0 (merge),
  // while it has the same set and the same way as mshr_1 (reject).
  // In this situation, the coming req should be merged by mshr_0
//  assert(RegNext(PopCount(Seq(merge, reject)) <= 1.U))
A
Allen 已提交
506

W
William Wang 已提交
507 508 509 510 511 512 513 514 515 516 517 518
  def select_valid_one[T <: Bundle](
    in: Seq[DecoupledIO[T]],
    out: DecoupledIO[T],
    name: Option[String] = None): Unit = {

    if (name.nonEmpty) { out.suggestName(s"${name.get}_select") }
    out.valid := Cat(in.map(_.valid)).orR
    out.bits := ParallelMux(in.map(_.valid) zip in.map(_.bits))
    in.map(_.ready := out.ready) 
    assert(!RegNext(out.valid && PopCount(Cat(in.map(_.valid))) > 1.U))
  }

Z
zhanglinjuan 已提交
519
  io.mem_grant.ready := false.B
A
Allen 已提交
520

Z
zhanglinjuan 已提交
521 522
  entries.zipWithIndex.foreach {
    case (e, i) =>
W
William Wang 已提交
523 524 525 526 527
      val former_primary_ready = if(i == 0)
        false.B 
      else
        Cat((0 until i).map(j => entries(j).io.primary_ready)).orR
      
Z
zhanglinjuan 已提交
528
      e.io.id := i.U
W
William Wang 已提交
529 530 531 532 533 534
      e.io.req.valid := io.req.valid
      e.io.primary_valid := io.req.valid && 
        !merge && 
        !reject && 
        !former_primary_ready &&
        e.io.primary_ready
Z
zhanglinjuan 已提交
535
      e.io.req.bits := io.req.bits
A
Allen 已提交
536

Z
zhanglinjuan 已提交
537 538 539 540 541
      e.io.mem_grant.valid := false.B
      e.io.mem_grant.bits := DontCare
      when (io.mem_grant.bits.source === i.U) {
        e.io.mem_grant <> io.mem_grant
      }
542

543
      e.io.refill_pipe_resp := io.refill_pipe_resp.valid && io.refill_pipe_resp.bits === i.U
544
      e.io.replace_pipe_resp := io.replace_pipe_resp.valid && io.replace_pipe_resp.bits === i.U
Z
zhanglinjuan 已提交
545
      e.io.main_pipe_resp := io.main_pipe_resp.valid && io.main_pipe_resp.bits.ack_miss_queue && io.main_pipe_resp.bits.miss_id === i.U
A
Allen 已提交
546

Z
zhanglinjuan 已提交
547
      io.debug_early_replace(i) := e.io.debug_early_replace
548 549
  }

Z
zhanglinjuan 已提交
550 551 552
  io.req.ready := accept
  io.refill_to_ldq.valid := Cat(entries.map(_.io.refill_to_ldq.valid)).orR
  io.refill_to_ldq.bits := ParallelMux(entries.map(_.io.refill_to_ldq.valid) zip entries.map(_.io.refill_to_ldq.bits))
A
Allen 已提交
553

554
  TLArbiter.lowest(edge, io.mem_acquire, entries.map(_.io.mem_acquire):_*)
Z
zhanglinjuan 已提交
555
  TLArbiter.lowest(edge, io.mem_finish, entries.map(_.io.mem_finish):_*)
A
Allen 已提交
556

557
  arbiter_with_pipereg(entries.map(_.io.refill_pipe_req), io.refill_pipe_req, Some("refill_pipe_req"))
W
William Wang 已提交
558 559
  arbiter(entries.map(_.io.replace_pipe_req), io.replace_pipe_req, Some("replace_pipe_req"))
  arbiter(entries.map(_.io.main_pipe_req), io.main_pipe_req, Some("main_pipe_req"))
A
Allen 已提交
560

Z
zhanglinjuan 已提交
561
  io.probe_block := Cat(probe_block_vec).orR
A
Allen 已提交
562

Z
zhanglinjuan 已提交
563
  io.full := ~Cat(entries.map(_.io.primary_ready)).andR
A
Allen 已提交
564

565
  if (env.EnableDifftest) {
Z
zhanglinjuan 已提交
566 567
    val difftest = Module(new DifftestRefillEvent)
    difftest.io.clock := clock
J
Jiawei Lin 已提交
568
    difftest.io.coreid := io.hartId
569
    difftest.io.cacheid := 1.U
Z
zhanglinjuan 已提交
570 571 572
    difftest.io.valid := io.refill_to_ldq.valid && io.refill_to_ldq.bits.hasdata && io.refill_to_ldq.bits.refill_done
    difftest.io.addr := io.refill_to_ldq.bits.addr
    difftest.io.data := io.refill_to_ldq.bits.data_raw.asTypeOf(difftest.io.data)
573 574
  }

575
  XSPerfAccumulate("miss_req", io.req.fire())
Z
zhanglinjuan 已提交
576 577
  XSPerfAccumulate("miss_req_allocate", io.req.fire() && alloc)
  XSPerfAccumulate("miss_req_merge_load", io.req.fire() && merge && io.req.bits.isLoad)
578
  XSPerfAccumulate("miss_req_reject_load", io.req.valid && reject && io.req.bits.isLoad)
579
  XSPerfAccumulate("probe_blocked_by_miss", io.probe_block)
580
  val max_inflight = RegInit(0.U((log2Up(cfg.nMissEntries) + 1).W))
Z
zhanglinjuan 已提交
581
  val num_valids = PopCount(~Cat(primary_ready_vec).asUInt)
582 583 584 585
  when (num_valids > max_inflight) {
    max_inflight := num_valids
  }
  // max inflight (average) = max_inflight_total / cycle cnt
586
  XSPerfAccumulate("max_inflight", max_inflight)
587
  QueuePerf(cfg.nMissEntries, num_valids, num_valids === cfg.nMissEntries.U)
588
  io.full := num_valids === cfg.nMissEntries.U
589
  XSPerfHistogram("num_valids", num_valids, true.B, 0, cfg.nMissEntries, 1)
590

591
  val perfEvents = Seq(
592 593 594 595 596
    ("dcache_missq_req      ", io.req.fire()                                                                                                                                                                       ),
    ("dcache_missq_1_4_valid", (PopCount(entries.map(entry => (!entry.io.primary_ready))) < (cfg.nMissEntries.U/4.U))                                                                                              ),
    ("dcache_missq_2_4_valid", (PopCount(entries.map(entry => (!entry.io.primary_ready))) > (cfg.nMissEntries.U/4.U)) & (PopCount(entries.map(entry => (!entry.io.primary_ready))) <= (cfg.nMissEntries.U/2.U))    ),
    ("dcache_missq_3_4_valid", (PopCount(entries.map(entry => (!entry.io.primary_ready))) > (cfg.nMissEntries.U/2.U)) & (PopCount(entries.map(entry => (!entry.io.primary_ready))) <= (cfg.nMissEntries.U*3.U/4.U))),
    ("dcache_missq_4_4_valid", (PopCount(entries.map(entry => (!entry.io.primary_ready))) > (cfg.nMissEntries.U*3.U/4.U))                                                                                          ),
597
  )
598
  generatePerfEvent()
A
Allen 已提交
599
}