PreDecode.scala 6.5 KB
Newer Older
L
Lemover 已提交
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

Fa_wang's avatar
Fa_wang 已提交
16 17
package xiangshan.frontend

18
import chipsalliance.rocketchip.config.Parameters
Fa_wang's avatar
Fa_wang 已提交
19 20
import chisel3._
import chisel3.util._
L
Lingrui98 已提交
21
import utils._
22
import freechips.rocketchip.rocket.{RVCDecoder, ExpandedInstruction}
Fa_wang's avatar
Fa_wang 已提交
23 24
import xiangshan._
import xiangshan.backend.decode.isa.predecode.PreDecodeInst
25
import xiangshan.cache._
Fa_wang's avatar
Fa_wang 已提交
26 27 28 29 30 31

trait HasPdconst{ this: XSModule =>
  def isRVC(inst: UInt) = (inst(1,0) =/= 3.U)
  def isLink(reg:UInt) = reg === 1.U || reg === 5.U
  def brInfo(instr: UInt) = {
    val brType::Nil = ListLookup(instr, List(BrType.notBr), PreDecodeInst.brTable)
Fa_wang's avatar
Fa_wang 已提交
32
    val rd = Mux(isRVC(instr), instr(12), instr(11,7))
Z
zhanglinjuan 已提交
33
    val rs = Mux(isRVC(instr), Mux(brType === BrType.jal, 0.U, instr(11, 7)), instr(19, 15))
34
    val isCall = (brType === BrType.jal && !isRVC(instr) || brType === BrType.jalr) && isLink(rd) // Only for RV64
Fa_wang's avatar
Fa_wang 已提交
35
    val isRet = brType === BrType.jalr && isLink(rs) && !isCall
Fa_wang's avatar
Fa_wang 已提交
36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52
    List(brType, isCall, isRet)
  }
}

object BrType {
  def notBr   = "b00".U
  def branch  = "b01".U
  def jal     = "b10".U
  def jalr    = "b11".U
  def apply() = UInt(2.W)
}

object ExcType {  //TODO:add exctype
  def notExc = "b000".U
  def apply() = UInt(3.W)
}

53
class PreDecodeInfo extends Bundle {  // 8 bit
Fa_wang's avatar
Fa_wang 已提交
54 55 56 57 58 59 60 61
  val isRVC   = Bool()
  val brType  = UInt(2.W)
  val isCall  = Bool()
  val isRet   = Bool()
  val excType = UInt(3.W)
  def isBr = brType === BrType.branch
  def isJal = brType === BrType.jal
  def isJalr = brType === BrType.jalr
L
Lingrui98 已提交
62
  def notCFI = brType === BrType.notBr
Fa_wang's avatar
Fa_wang 已提交
63 64
}

65
class PreDecodeInfoForDebug(val usePerf: Boolean = true) extends Bundle {
66 67 68 69 70 71 72 73 74 75 76
  val isRVC   = if (usePerf) Bool() else UInt(0.W)
  val brType  = if (usePerf) UInt(2.W) else UInt(0.W)
  val isCall  = if (usePerf) Bool() else UInt(0.W)
  val isRet   = if (usePerf) Bool() else UInt(0.W)
  val excType = if (usePerf) UInt(3.W) else UInt(0.W)
  def isBr = brType === BrType.branch
  def isJal = brType === BrType.jal
  def isJalr = brType === BrType.jalr
  def notCFI = brType === BrType.notBr
}

77
class PreDecodeResp(implicit p: Parameters) extends XSBundle with HasIFUConst {
78 79 80
  val instrs = Vec(PredictWidth, UInt(32.W))
  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
  val mask = UInt(PredictWidth.W)
81
  // one for the first bank
82
  val lastHalf = Bool()
83
  val pd = Vec(PredictWidth, (new PreDecodeInfo))
Fa_wang's avatar
Fa_wang 已提交
84 85
}

86
class PreDecode(implicit p: Parameters) extends XSModule with HasPdconst with HasIFUConst {
Fa_wang's avatar
Fa_wang 已提交
87
  val io = IO(new Bundle() {
88
    val in = Input(new ICacheResp)
89
    val prev = Flipped(ValidIO(UInt(16.W)))
90
    val prev_pc = Input(UInt(VAddrBits.W))
91
    val out = Output(new PreDecodeResp)
Fa_wang's avatar
Fa_wang 已提交
92 93 94 95
  })

  val data = io.in.data
  val mask = io.in.mask
96
  
97 98
  val packetAlignedPC = packetAligned(io.in.pc)
  val packetOffset = offsetInPacket(io.in.pc)
99

100
  val firstValidIdx = packetOffset // io.prev.valid should only occur with firstValidIdx = 0
101
  XSError(firstValidIdx =/= 0.U && io.prev.valid && HasCExtension.B, p"pc:${io.in.pc}, mask:${io.in.mask}, prevhalfInst valid occurs on unaligned fetch packet\n")
Fa_wang's avatar
Fa_wang 已提交
102

103
  val instsMask = Wire(Vec(PredictWidth, Bool()))
L
Lingrui98 已提交
104
  val instsEndMask = Wire(Vec(PredictWidth, Bool()))
105

106 107
  val rawInsts = if (HasCExtension) {
                   VecInit((0 until PredictWidth).map(i => if (i == PredictWidth-1) Cat(0.U(16.W), data(i*16+15, i*16))
108
                                                         else data(i*16+31, i*16)))
109 110 111
                 } else {
                   VecInit((0 until PredictWidth).map(i => data(i*32+31, i*32)))
                 }
Fa_wang's avatar
Fa_wang 已提交
112

113
  for (i <- 0 until PredictWidth) {
114 115 116 117 118
    val inst = WireInit(rawInsts(i))
    val validStart = Wire(Bool()) // is the beginning of a valid inst
    val validEnd = Wire(Bool())  // is the end of a valid inst

    val isFirstInPacket = i.U === firstValidIdx
119
    val isLastInPacket = (i == PredictWidth-1).B
120
    val currentRVC = isRVC(inst) && HasCExtension.B
121

122
    val lastIsValidEnd = (if (i == 0) { !io.prev.valid } else { instsEndMask(i-1) || isFirstInPacket }) || !HasCExtension.B
123
    
L
Lingrui98 已提交
124 125 126 127 128 129 130 131
    inst := (if (HasCExtension)
               Mux(io.prev.valid && i.U === 0.U,
                 Cat(rawInsts(i)(15,0), io.prev.bits),
                 rawInsts(i))
             else
               rawInsts(i))

    // when disable rvc, every 4 bytes should be an inst
132 133
    validStart := lastIsValidEnd && !(isLastInPacket && !currentRVC) || !HasCExtension.B
    validEnd := validStart && currentRVC || !validStart && !(isLastInPacket && !currentRVC) || !HasCExtension.B
L
Lingrui98 已提交
134

135
    val currentLastHalf = lastIsValidEnd && (isLastInPacket && !currentRVC) && HasCExtension.B
Fa_wang's avatar
Fa_wang 已提交
136

137 138
    instsMask(i) := (if (i == 0) Mux(io.prev.valid, validEnd, validStart) else validStart)
    instsEndMask(i) := validEnd
Fa_wang's avatar
Fa_wang 已提交
139 140

    val brType::isCall::isRet::Nil = brInfo(inst)
L
Lingrui98 已提交
141
    io.out.pd(i).isRVC := currentRVC
142 143 144 145
    io.out.pd(i).brType := brType
    io.out.pd(i).isCall := isCall
    io.out.pd(i).isRet := isRet
    io.out.pd(i).excType := ExcType.notExc
L
Lingrui98 已提交
146
    io.out.instrs(i) := inst
L
Lingrui98 已提交
147
    io.out.pc(i) := Mux(io.prev.valid && HasCExtension.B && (i==0).B, io.prev_pc, Cat(packetIdx(io.in.pc), (i << instOffsetBits).U(log2Ceil(packetBytes).W)))
L
Lingrui98 已提交
148

L
Lingrui98 已提交
149
    if (i == PredictWidth-1) { io.out.lastHalf := currentLastHalf }
Fa_wang's avatar
Fa_wang 已提交
150
  }
151
  io.out.mask := instsMask.asUInt & mask
Fa_wang's avatar
Fa_wang 已提交
152

153
  for (i <- 0 until PredictWidth) {
Fa_wang's avatar
Fa_wang 已提交
154
    XSDebug(true.B,
Z
zhanglinjuan 已提交
155
      p"instr ${Hexadecimal(io.out.instrs(i))}, " +
156
      p"mask ${Binary(instsMask(i))}, " +
157
      p"endMask ${Binary(instsEndMask(i))}, " +
Z
zhanglinjuan 已提交
158
      p"pc ${Hexadecimal(io.out.pc(i))}, " +
159 160 161 162
      p"isRVC ${Binary(io.out.pd(i).isRVC)}, " +
      p"brType ${Binary(io.out.pd(i).brType)}, " +
      p"isRet ${Binary(io.out.pd(i).isRet)}, " +
      p"isCall ${Binary(io.out.pd(i).isCall)}\n"
Fa_wang's avatar
Fa_wang 已提交
163 164
    )
  }
Fa_wang's avatar
Fa_wang 已提交
165
}
166

167
class RVCExpander(implicit p: Parameters) extends XSModule {
168 169 170 171 172 173 174 175 176 177 178
  val io = IO(new Bundle {
    val in = Input(UInt(32.W))
    val out = Output(new ExpandedInstruction)
  })

  if (HasCExtension) {
    io.out := new RVCDecoder(io.in, XLEN).decode
  } else {
    io.out := new RVCDecoder(io.in, XLEN).passthrough
  }
}