IFU.scala 3.9 KB
Newer Older
Z
Zihao Yu 已提交
1
package noop
Z
Zihao Yu 已提交
2 3 4

import chisel3._
import chisel3.util._
5
import chisel3.util.experimental.BoringUtils
Z
Zihao Yu 已提交
6

7
import utils._
8
import bus.simplebus._
Z
Zihao Yu 已提交
9

Z
Zihao Yu 已提交
10
trait HasResetVector {
11
  val resetVector = 0x80000000L//TODO: set reset vec
Z
Zihao Yu 已提交
12 13
}

Z
Zihao Yu 已提交
14
class IFU extends NOOPModule with HasResetVector {
Z
Zihao Yu 已提交
15
  val io = IO(new Bundle {
W
William Wang 已提交
16

17
    val imem = new SimpleBusUC(userBits = AddrBits*2 + 4)
W
William Wang 已提交
18
    // val pc = Input(UInt(AddrBits.W))
Z
Zihao Yu 已提交
19
    val out = Decoupled(new CtrlFlowIO)
W
William Wang 已提交
20

Z
Zihao Yu 已提交
21
    val redirect = Flipped(new RedirectIO)
22
    val redirectRVC = Flipped(new RedirectIO)//priority: redirect > redirectRVC
23
    val flushVec = Output(UInt(4.W))
24
    val bpFlush = Output(Bool())
Z
Zihao Yu 已提交
25 26
  })

Z
Zihao Yu 已提交
27
  // pc
Z
Zihao Yu 已提交
28
  val pc = RegInit(resetVector.U(AddrBits.W))
29
  val pcUpdate = io.redirect.valid || io.imem.req.fire() || io.redirectRVC.valid
30
  val snpc = Mux(pc(1), pc + 2.U, pc + 4.U)  // sequential next pc
31 32

  val bp1 = Module(new BPU1)
33 34 35 36 37 38 39 40 41 42 43

  //
  val lateJump = bp1.io.lateJump
  val lateJumpLatch = RegInit(false.B) 
  when(io.out.fire() || bp1.io.flush) {
    lateJumpLatch := Mux(bp1.io.flush, false.B, lateJump)
  }
  val lateJumpTarget = RegEnable(bp1.io.out.target, lateJump)
  val lateJumpForceSeq = lateJump && bp1.io.out.valid
  val lateJumpForceTgt = lateJumpLatch && !bp1.io.flush

44
  // predicted next pc
45
  val pnpc = Mux(lateJump, snpc, bp1.io.out.target)
W
William Wang 已提交
46
  val pbrIdx = bp1.io.brIdx
47
  val npc = Mux(io.redirect.valid, io.redirect.target, Mux(lateJumpLatch, lateJumpTarget, Mux(bp1.io.out.valid, pnpc, snpc)))
48
  val npcIsSeq = Mux(io.redirect.valid || io.redirectRVC.valid, false.B, Mux(lateJumpLatch, false.B, Mux(lateJump, true.B, Mux(bp1.io.out.valid, false.B, true.B))))
49
  // val npc = Mux(io.redirect.valid, io.redirect.target, Mux(io.redirectRVC.valid, io.redirectRVC.target, snpc))
50
  val brIdx = Wire(UInt(4.W)) 
51 52
  // brIdx(0) -> branch at pc offset 0 (mod 4)
  // brIdx(1) -> branch at pc offset 2 (mod 4)
53
  // brIdx(2) -> branch at pc offset 6 (mod 8), and this inst is not rvc inst
54
  brIdx := Cat(npcIsSeq, Mux(io.redirect.valid, 0.U, Mux(io.redirectRVC.valid, 0.U, pbrIdx)))
55
  //TODO: BP will be disabled shortly after a redirect request
56

57
  bp1.io.in.pc.valid := io.imem.req.fire() // only predict when Icache accepts a request
58
  bp1.io.in.pc.bits := npc  // predict one cycle early
59 60
  // bp1.io.flush := io.redirect.valid 
  bp1.io.flush := io.redirect.valid || io.redirectRVC.valid
Z
Zihao Yu 已提交
61 62 63
  //val bp2 = Module(new BPU2)
  //bp2.io.in.bits := io.out.bits
  //bp2.io.in.valid := io.imem.resp.fire()
64

65 66 67 68
  when (pcUpdate) { 
    pc := npc 
    // printf("[IF1] pc=%x\n", pc)
  }
Z
Zihao Yu 已提交
69

70
  io.flushVec := Mux(io.redirect.valid, "b1111".U, Mux(io.redirectRVC.valid, "b0001".U, 0.U))
71
  io.bpFlush := false.B
Z
Zihao Yu 已提交
72

W
William Wang 已提交
73
  io.imem.req.bits.apply(addr = Cat(pc(AddrBits-1,1),0.U(1.W)), //cache will treat it as Cat(pc(63,3),0.U(3.W))
74
    size = "b11".U, cmd = SimpleBusCmd.read, wdata = 0.U, wmask = 0.U, user = Cat(brIdx(3,0), npc, pc))
Z
Zihao Yu 已提交
75
  io.imem.req.valid := io.out.ready
W
William Wang 已提交
76

77
  io.imem.resp.ready := io.out.ready || io.flushVec(0)
78

79 80
  Debug(){
    when(io.imem.req.fire()){
W
William Wang 已提交
81
      printf("[IFI] pc=%x user=%x %x %x %x %x\n", io.imem.req.bits.addr, io.imem.req.bits.user.getOrElse(0.U), io.redirect.valid, io.redirectRVC.valid, pbrIdx, brIdx)
82 83 84
    }
  }

Z
Zihao Yu 已提交
85
  io.out.bits := DontCare
Z
Zihao Yu 已提交
86
    //inst path only uses 32bit inst, get the right inst according to pc(2)
87

88 89
  Debug(){
    when (io.out.fire()) {
90
          printf("[IFO] pc=%x inst=%x\n", io.out.bits.pc, io.out.bits.instr)
91
    }
92 93
  }

W
William Wang 已提交
94 95 96
  // io.out.bits.instr := (if (XLEN == 64) io.imem.resp.bits.rdata.asTypeOf(Vec(2, UInt(32.W)))(io.out.bits.pc(2))
                      //  else io.imem.resp.bits.rdata)
  io.out.bits.instr := io.imem.resp.bits.rdata
Z
Zihao Yu 已提交
97 98 99
  io.imem.resp.bits.user.map{ case x =>
    io.out.bits.pc := x(AddrBits-1,0)
    io.out.bits.pnpc := x(AddrBits*2-1,AddrBits)
100
    io.out.bits.brIdx := x(AddrBits*2 + 3, AddrBits*2)
Z
Zihao Yu 已提交
101
  }
Z
Zihao Yu 已提交
102
  io.out.valid := io.imem.resp.valid && !io.flushVec(0)
103

104 105
  BoringUtils.addSource(BoolStopWatch(io.imem.req.valid, io.imem.resp.fire()), "perfCntCondMimemStall")
  BoringUtils.addSource(io.flushVec.orR, "perfCntCondMifuFlush")
Z
Zihao Yu 已提交
106
}