risc_axi_v5_top_wrapper_timing_summary_routed.rpt 8.1 KB
Newer Older
饶先宏's avatar
饶先宏 已提交
1 2 3
Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
饶先宏's avatar
饶先宏 已提交
4
| Date         : Mon Sep 13 22:01:30 2021
饶先宏's avatar
饶先宏 已提交
5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43
| Host         : DESKTOP-I91JIJO running 64-bit major release  (build 9200)
| Command      : report_timing_summary -max_paths 10 -file risc_axi_v5_top_wrapper_timing_summary_routed.rpt -pb risc_axi_v5_top_wrapper_timing_summary_routed.pb -rpx risc_axi_v5_top_wrapper_timing_summary_routed.rpx -warn_on_violation
| Design       : risc_axi_v5_top_wrapper
| Device       : 7z020-clg400
| Speed File   : -2  PRODUCTION 1.12 2019-11-22
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

Timing Summary Report

------------------------------------------------------------------------------------------------
| Timer Settings
| --------------
------------------------------------------------------------------------------------------------

  Enable Multi Corner Analysis               :  Yes
  Enable Pessimism Removal                   :  Yes
  Pessimism Removal Resolution               :  Nearest Common Node
  Enable Input Delay Default Clock           :  No
  Enable Preset / Clear Arcs                 :  No
  Disable Flight Delays                      :  No
  Ignore I/O Paths                           :  No
  Timing Early Launch at Borrowing Latches   :  No
  Borrow Time for Max Delay Exceptions       :  Yes
  Merge Timing Exceptions                    :  Yes

  Corner  Analyze    Analyze    
  Name    Max Paths  Min Paths  
  ------  ---------  ---------  
  Slow    Yes        Yes        
  Fast    Yes        Yes        


------------------------------------------------------------------------------------------------
| Report Methodology
| ------------------
------------------------------------------------------------------------------------------------

Rule       Severity          Description                  Violations  
---------  ----------------  ---------------------------  ----------  
饶先宏's avatar
饶先宏 已提交
44
TIMING-17  Critical Warning  Non-clocked sequential cell  921         
饶先宏's avatar
饶先宏 已提交
45 46 47 48 49 50 51 52 53

Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report.



check_timing report

Table of Contents
-----------------
饶先宏's avatar
饶先宏 已提交
54
1. checking no_clock (921)
饶先宏's avatar
饶先宏 已提交
55 56
2. checking constant_clock (0)
3. checking pulse_width_clock (0)
饶先宏's avatar
饶先宏 已提交
57
4. checking unconstrained_internal_endpoints (2229)
饶先宏's avatar
饶先宏 已提交
58
5. checking no_input_delay (2)
饶先宏's avatar
饶先宏 已提交
59 60 61 62 63 64 65 66
6. checking no_output_delay (5)
7. checking multiple_clock (0)
8. checking generated_clocks (0)
9. checking loops (0)
10. checking partial_input_delay (0)
11. checking partial_output_delay (0)
12. checking latch_loops (0)

饶先宏's avatar
饶先宏 已提交
67 68 69
1. checking no_clock (921)
--------------------------
 There are 921 register/latch pins with no clock driven by root clock pin: wClk (HIGH)
饶先宏's avatar
饶先宏 已提交
70 71 72 73 74 75 76 77 78 79 80 81


2. checking constant_clock (0)
------------------------------
 There are 0 register/latch pins with constant_clock.


3. checking pulse_width_clock (0)
---------------------------------
 There are 0 register/latch pins which need pulse_width check


饶先宏's avatar
饶先宏 已提交
82
4. checking unconstrained_internal_endpoints (2229)
饶先宏's avatar
饶先宏 已提交
83
---------------------------------------------------
饶先宏's avatar
饶先宏 已提交
84
 There are 2229 pins that are not constrained for maximum delay. (HIGH)
饶先宏's avatar
饶先宏 已提交
85 86 87 88

 There are 0 pins that are not constrained for maximum delay due to constant clock.


饶先宏's avatar
饶先宏 已提交
89
5. checking no_input_delay (2)
饶先宏's avatar
饶先宏 已提交
90
------------------------------
饶先宏's avatar
饶先宏 已提交
91
 There are 2 input ports with no input delay specified. (HIGH)
饶先宏's avatar
饶先宏 已提交
92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187

 There are 0 input ports with no input delay but user has a false path constraint.


6. checking no_output_delay (5)
-------------------------------
 There are 5 ports with no output delay specified. (HIGH)

 There are 0 ports with no output delay but user has a false path constraint

 There are 0 ports with no output delay but with a timing clock defined on it or propagating through it


7. checking multiple_clock (0)
------------------------------
 There are 0 register/latch pins with multiple clocks.


8. checking generated_clocks (0)
--------------------------------
 There are 0 generated clocks that are not connected to a clock source.


9. checking loops (0)
---------------------
 There are 0 combinational loops in the design.


10. checking partial_input_delay (0)
------------------------------------
 There are 0 input ports with partial input delay specified.


11. checking partial_output_delay (0)
-------------------------------------
 There are 0 ports with partial output delay specified.


12. checking latch_loops (0)
----------------------------
 There are 0 combinational latch loops in the design through latch input



------------------------------------------------------------------------------------------------
| Design Timing Summary
| ---------------------
------------------------------------------------------------------------------------------------

    WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
    -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  
         NA           NA                     NA                   NA           NA           NA                     NA                   NA           NA           NA                      NA                    NA  


All user specified timing constraints are met.


------------------------------------------------------------------------------------------------
| Clock Summary
| -------------
------------------------------------------------------------------------------------------------


------------------------------------------------------------------------------------------------
| Intra Clock Table
| -----------------
------------------------------------------------------------------------------------------------

Clock             WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
-----             -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  


------------------------------------------------------------------------------------------------
| Inter Clock Table
| -----------------
------------------------------------------------------------------------------------------------

From Clock    To Clock          WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints  
----------    --------          -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------  


------------------------------------------------------------------------------------------------
| Other Path Groups Table
| -----------------------
------------------------------------------------------------------------------------------------

Path Group    From Clock    To Clock          WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints  
----------    ----------    --------          -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------  


------------------------------------------------------------------------------------------------
| Timing Details
| --------------
------------------------------------------------------------------------------------------------