提交 c3b99c09 编写于 作者: 饶先宏's avatar 饶先宏

202109132206

上级 60a1924a
......@@ -595,10 +595,6 @@ int main(int argc, char* argv[])
}
count = count + 1;
*(unsigned int*)0xf0000004 = count;
if ((count % 1000000) == 0) {
_i2s(buf, count);
_puts(buf);
}
do {
if (_haschar()) {
_gets(buf, sizeof(buf)-1);
......@@ -606,10 +602,6 @@ int main(int argc, char* argv[])
}
count = count + 1;
*(unsigned int*)0xf0000004 = count;
if ((count % 1000000) == 0) {
_i2s(buf, count);
_puts(buf);
}
#if 0
count0++;
if (count0 > 10000) {
......
......@@ -143,24 +143,24 @@ B3 07 F7 00 93 87 97 FC 23 24 F4 FE 6F 00 40 01
@000007E8
13 01 01 FB 23 26 11 04 23 24 81 04 23 22 21 05
23 20 31 05 23 2E 41 03 23 2C 51 03 13 04 01 05
B7 17 00 00 83 A7 87 EE 93 F7 07 FF 23 2A F4 FC
B7 17 00 00 83 A7 87 E8 93 F7 07 FF 23 2A F4 FC
23 2C 04 FC 6F 00 C0 1B 83 27 44 FD 13 89 07 00
93 09 00 00 93 07 C4 FB 13 07 00 03 93 06 80 00
93 05 09 00 13 86 09 00 13 85 07 00 EF F0 9F BC
93 07 C4 FB 13 85 07 00 EF F0 9F 8F B7 17 00 00
13 85 07 EA EF F0 DF 8E 23 2E 04 FC 6F 00 40 0A
13 85 07 E4 EF F0 DF 8E 23 2E 04 FC 6F 00 40 0A
83 27 44 FD 23 26 F4 FC 03 27 C4 FD 83 27 44 FD
33 07 F7 00 B7 17 00 00 83 A7 87 EE 63 7A F7 00
B7 17 00 00 13 85 47 EA EF F0 9F 8B 6F 00 00 05
33 07 F7 00 B7 17 00 00 83 A7 87 E8 63 7A F7 00
B7 17 00 00 13 85 47 E4 EF F0 9F 8B 6F 00 00 05
83 27 C4 FD 03 27 C4 FC B3 07 F7 00 83 C7 07 00
13 8A 07 00 93 0A 00 00 93 07 C4 FB 13 07 00 03
93 06 20 00 93 05 0A 00 13 86 0A 00 13 85 07 00
EF F0 5F B4 93 07 C4 FB 13 85 07 00 EF F0 5F 87
B7 17 00 00 13 85 87 EA EF F0 9F 86 03 27 C4 FD
93 07 70 00 63 18 F7 00 B7 17 00 00 13 85 C7 EA
B7 17 00 00 13 85 87 E4 EF F0 9F 86 03 27 C4 FD
93 07 70 00 63 18 F7 00 B7 17 00 00 13 85 C7 E4
EF F0 1F 85 83 27 C4 FD 93 87 17 00 23 2E F4 FC
03 27 C4 FD 93 07 F0 00 E3 DC E7 F4 B7 17 00 00
13 85 07 EB EF F0 DF 82 23 2E 04 FC 6F 00 80 07
13 85 07 E5 EF F0 DF 82 23 2E 04 FC 6F 00 80 07
83 27 44 FD 23 28 F4 FC 83 27 C4 FD 03 27 04 FD
B3 07 F7 00 03 C7 07 00 93 07 F0 01 63 FA E7 02
83 27 C4 FD 03 27 04 FD B3 07 F7 00 03 C7 07 00
......@@ -169,12 +169,12 @@ B3 07 F7 00 83 C7 07 00 23 0E F4 FA 6F 00 C0 00
93 07 E0 02 23 0E F4 FA A3 0E 04 FA 93 07 C4 FB
13 85 07 00 EF F0 CF FB 83 27 C4 FD 93 87 17 00
23 2E F4 FC 03 27 C4 FD 93 07 F0 00 E3 D2 E7 F8
B7 17 00 00 13 85 47 EB EF F0 8F F9 83 27 44 FD
B7 17 00 00 13 85 47 E5 EF F0 8F F9 83 27 44 FD
93 87 07 01 23 2A F4 FC 83 27 44 FD 93 F7 F7 0F
63 80 07 02 83 27 84 FD 93 87 17 00 23 2C F4 FC
03 27 84 FD 93 07 F0 00 E3 D0 E7 E4 6F 00 80 00
13 00 00 00 B7 17 00 00 13 85 87 EB EF F0 4F F5
B7 17 00 00 03 27 44 FD 23 A4 E7 EE 13 00 00 00
13 00 00 00 B7 17 00 00 13 85 87 E5 EF F0 4F F5
B7 17 00 00 03 27 44 FD 23 A4 E7 E8 13 00 00 00
83 20 C1 04 03 24 81 04 03 29 41 04 83 29 01 04
03 2A C1 03 83 2A 81 03 13 01 01 05 67 80 00 00
@00000A28
......@@ -186,76 +186,70 @@ B7 17 00 00 03 27 44 FD 23 A4 E7 EE 13 00 00 00
23 2E A4 F6 23 2C B4 F6 93 07 10 00 23 2E F4 FC
B7 07 00 F0 93 87 07 10 23 2A F4 FC 83 27 44 FD
93 87 C7 00 13 07 20 1B 23 A0 E7 00 EF F0 CF D7
93 07 05 00 63 88 07 00 B7 17 00 00 13 85 C7 EB
93 07 05 00 63 88 07 00 B7 17 00 00 13 85 C7 E5
EF F0 4F EA 83 27 C4 FD 93 87 17 00 23 2E F4 FC
B7 07 00 F0 93 87 47 00 03 27 C4 FD 23 A0 E7 00
03 27 C4 FD B7 47 0F 00 93 87 07 24 B3 67 F7 02
63 90 07 02 93 07 44 F8 83 25 C4 FD 13 85 07 00
EF F0 4F FA 93 07 44 F8 13 85 07 00 EF F0 8F E5
EF F0 0F D6 93 07 05 00 63 86 07 04 93 07 44 F8
93 05 70 02 13 85 07 00 EF F0 4F EA 13 00 00 00
B7 17 00 00 13 85 07 EC EF F0 CF E2 93 07 44 F8
13 85 07 00 EF F0 0F E2 B7 17 00 00 13 85 87 EB
EF F0 4F E1 03 47 44 F8 93 07 20 06 63 16 F7 0A
6F 00 40 05 83 27 C4 FD 93 87 17 00 23 2E F4 FC
EF F0 0F D9 93 07 05 00 63 86 07 04 93 07 44 F8
93 05 70 02 13 85 07 00 EF F0 4F ED 13 00 00 00
B7 17 00 00 13 85 07 E6 EF F0 CF E5 93 07 44 F8
13 85 07 00 EF F0 0F E5 B7 17 00 00 13 85 87 E5
EF F0 4F E4 03 47 44 F8 93 07 20 06 63 1E F7 06
6F 00 40 02 83 27 C4 FD 93 87 17 00 23 2E F4 FC
B7 07 00 F0 93 87 47 00 03 27 C4 FD 23 A0 E7 00
03 27 C4 FD B7 47 0F 00 93 87 07 24 B3 67 F7 02
E3 90 07 F8 93 07 44 F8 83 25 C4 FD 13 85 07 00
EF F0 4F F0 93 07 44 F8 13 85 07 00 EF F0 8F DB
6F F0 1F F6 93 07 44 F8 93 87 27 00 93 05 00 00
13 85 07 00 EF F0 DF A0 23 2C A4 FA 83 27 84 FB
6F F0 1F F9 93 07 44 F8 93 87 27 00 93 05 00 00
13 85 07 00 EF F0 DF A6 23 2C A4 FA 83 27 84 FB
63 58 F0 02 B7 07 00 F0 93 87 07 10 23 2A F4 FA
B7 F7 FA 02 13 87 07 08 83 27 84 FB 33 47 F7 02
83 27 44 FB 93 87 C7 00 23 A0 E7 00 6F 00 C0 2A
EF F0 5F E4 6F 00 40 2A 03 47 44 F8 93 07 40 06
EF F0 5F EA 6F 00 40 2A 03 47 44 F8 93 07 40 06
63 1C F7 02 93 07 44 F8 93 87 27 00 93 05 00 00
13 85 07 00 EF F0 9F AA 23 2E A4 FA 83 27 C4 FB
63 58 F0 00 03 27 C4 FB B7 17 00 00 23 A4 E7 EE
EF F0 5F BC 6F 00 40 26 03 47 44 F8 93 07 70 07
13 85 07 00 EF F0 9F B0 23 2E A4 FA 83 27 C4 FB
63 58 F0 00 03 27 C4 FB B7 17 00 00 23 A4 E7 E8
EF F0 5F C2 6F 00 40 26 03 47 44 F8 93 07 70 07
63 1C F7 0A 93 07 44 F8 93 87 27 00 13 07 04 FB
93 05 07 00 13 85 07 00 EF F0 5F A6 23 24 A4 FC
93 05 07 00 13 85 07 00 EF F0 5F AC 23 24 A4 FC
83 27 04 FB 13 07 04 FB 93 05 07 00 13 85 07 00
EF F0 DF A4 23 22 A4 FC 83 27 04 FB 13 07 04 FB
93 05 07 00 13 85 07 00 EF F0 5F A3 23 20 A4 FC
EF F0 DF AA 23 22 A4 FC 83 27 04 FB 13 07 04 FB
93 05 07 00 13 85 07 00 EF F0 5F A9 23 20 A4 FC
03 27 04 FC 93 07 10 00 63 1C F7 00 83 27 84 FC
03 27 44 FC 13 77 F7 0F 23 80 E7 00 6F 00 C0 1E
03 27 04 FC 93 07 20 00 63 1E F7 00 83 27 84 FC
03 27 44 FC 13 17 07 01 13 57 07 41 23 90 E7 00
6F 00 80 1C 03 27 04 FC 93 07 40 00 63 1A F7 00
83 27 84 FC 03 27 44 FC 23 A0 E7 00 6F 00 C0 1A
EF F0 5F D4 6F 00 40 1A 03 47 44 F8 93 07 20 07
EF F0 5F DA 6F 00 40 1A 03 47 44 F8 93 07 20 07
63 1A F7 18 23 2C 04 FC 93 07 44 F8 93 87 27 00
13 07 C4 FA 93 05 07 00 13 85 07 00 EF F0 1F 9A
13 07 C4 FA 93 05 07 00 13 85 07 00 EF F0 1F A0
23 28 A4 FC 83 27 C4 FA 13 07 C4 FA 93 05 07 00
13 85 07 00 EF F0 9F 98 23 26 A4 FC 03 27 C4 FC
13 85 07 00 EF F0 9F 9E 23 26 A4 FC 03 27 C4 FC
93 07 10 00 63 10 F7 02 83 27 04 FD 83 C7 07 00
23 2C F4 FC B7 17 00 00 13 85 47 EC EF F0 8F BF
23 2C F4 FC B7 17 00 00 13 85 47 E6 EF F0 8F C5
6F 00 80 05 03 27 C4 FC 93 07 20 00 63 10 F7 02
83 27 04 FD 83 97 07 00 23 2C F4 FC B7 17 00 00
13 85 C7 EC EF F0 0F BD 6F 00 00 03 03 27 C4 FC
13 85 C7 E6 EF F0 0F C3 6F 00 00 03 03 27 C4 FC
93 07 40 00 63 10 F7 02 83 27 04 FD 83 A7 07 00
23 2C F4 FC B7 17 00 00 13 85 47 ED EF F0 8F BA
6F 00 80 00 EF F0 1F C8 03 27 C4 FC 93 07 10 00
23 2C F4 FC B7 17 00 00 13 85 47 E7 EF F0 8F C0
6F 00 80 00 EF F0 1F CE 03 27 C4 FC 93 07 10 00
63 0E F7 00 03 27 C4 FC 93 07 20 00 63 08 F7 00
03 27 C4 FC 93 07 40 00 63 10 F7 0C 83 27 04 FD
13 89 07 00 93 D7 F7 41 93 89 07 00 93 07 44 F8
13 07 00 03 93 06 80 00 93 05 09 00 13 86 09 00
13 85 07 00 EF F0 4F E1 93 07 44 F8 13 85 07 00
EF F0 4F B4 B7 17 00 00 13 85 C7 ED EF F0 8F B3
93 07 44 F8 83 25 84 FD 13 85 07 00 EF F0 8F C6
93 07 44 F8 13 85 07 00 EF F0 CF B1 B7 17 00 00
13 85 07 EE EF F0 0F B1 83 27 84 FD 13 8A 07 00
13 85 07 00 EF F0 4F E7 93 07 44 F8 13 85 07 00
EF F0 4F BA B7 17 00 00 13 85 C7 E7 EF F0 8F B9
93 07 44 F8 83 25 84 FD 13 85 07 00 EF F0 8F CC
93 07 44 F8 13 85 07 00 EF F0 CF B7 B7 17 00 00
13 85 07 E8 EF F0 0F B7 83 27 84 FD 13 8A 07 00
93 D7 F7 41 93 8A 07 00 83 27 C4 FC 93 96 17 00
93 07 44 F8 13 07 00 03 93 05 0A 00 13 86 0A 00
13 85 07 00 EF F0 4F DA 93 07 44 F8 13 85 07 00
EF F0 4F AD B7 17 00 00 13 85 47 EE EF F0 8F AC
6F 00 80 00 EF F0 1F BA 13 00 00 00 EF F0 CF 97
93 07 05 00 E3 8C 07 FE 6F F0 5F BF
@00000EA0
13 85 07 00 EF F0 4F E0 93 07 44 F8 13 85 07 00
EF F0 4F B3 B7 17 00 00 13 85 47 E8 EF F0 8F B2
6F 00 80 00 EF F0 1F C0 13 00 00 00 EF F0 CF 9D
93 07 05 00 E3 8C 07 FE 6F F0 5F C5
@00000E40
20 20 00 00 20 20 20 00 20 00 00 00 2D 20 00 00
20 20 7C 00 7C 0A 00 00 0A 0D 00 00 3E 3E 00 00
0A 0D 3A 00 63 68 61 72 20 40 00 00 73 68 6F 72
74 20 40 00 69 6E 74 20 40 00 00 00 20 3D 20 00
28 00 00 00 29 0A 0D 00
@00000EE8
@00000E88
01 00 00 00
......@@ -515,7 +515,7 @@ FB010113,
3512C23,
5010413,
17B7,
EE87A783,
E887A783,
FF07F793,
FCF42A23,
FC042C23,
......@@ -534,7 +534,7 @@ FBC40793,
78513,
8F9FF0EF,
17B7,
EA078513,
E4078513,
8EDFF0EF,
FC042E23,
A40006F,
......@@ -544,10 +544,10 @@ FDC42703,
FD442783,
F70733,
17B7,
EE87A783,
E887A783,
F77A63,
17B7,
EA478513,
E4478513,
8B9FF0EF,
500006F,
FDC42783,
......@@ -567,13 +567,13 @@ FBC40793,
78513,
875FF0EF,
17B7,
EA878513,
E4878513,
869FF0EF,
FDC42703,
700793,
F71863,
17B7,
EAC78513,
E4C78513,
851FF0EF,
FDC42783,
178793,
......@@ -582,7 +582,7 @@ FDC42703,
F00793,
F4E7DCE3,
17B7,
EB078513,
E5078513,
82DFF0EF,
FC042E23,
780006F,
......@@ -619,7 +619,7 @@ FDC42703,
F00793,
F8E7D2E3,
17B7,
EB478513,
E5478513,
F98FF0EF,
FD442783,
1078793,
......@@ -636,11 +636,11 @@ E4E7D0E3,
80006F,
13,
17B7,
EB878513,
E5878513,
F54FF0EF,
17B7,
FD442703,
EEE7A423,
E8E7A423,
13,
4C12083,
4812403,
......@@ -680,7 +680,7 @@ D7CFF0EF,
50793,
78863,
17B7,
EBC78513,
E5C78513,
EA4FF0EF,
FDC42783,
178793,
......@@ -689,39 +689,27 @@ F00007B7,
478793,
FDC42703,
E7A023,
FDC42703,
F47B7,
24078793,
2F767B3,
2079063,
F8440793,
FDC42583,
78513,
FA4FF0EF,
F8440793,
78513,
E58FF0EF,
D60FF0EF,
D90FF0EF,
50793,
4078663,
F8440793,
2700593,
78513,
EA4FF0EF,
ED4FF0EF,
13,
17B7,
EC078513,
E2CFF0EF,
E6078513,
E5CFF0EF,
F8440793,
78513,
E20FF0EF,
E50FF0EF,
17B7,
EB878513,
E14FF0EF,
E5878513,
E44FF0EF,
F8444703,
6200793,
AF71663,
540006F,
6F71E63,
240006F,
FDC42783,
178793,
FCF42E23,
......@@ -729,24 +717,12 @@ F00007B7,
478793,
FDC42703,
E7A023,
FDC42703,
F47B7,
24078793,
2F767B3,
F80790E3,
F8440793,
FDC42583,
78513,
F04FF0EF,
F8440793,
78513,
DB8FF0EF,
F61FF06F,
F91FF06F,
F8440793,
278793,
593,
78513,
A0DFF0EF,
A6DFF0EF,
FAA42C23,
FB842783,
2F05863,
......@@ -761,7 +737,7 @@ FB442783,
C78793,
E7A023,
2AC0006F,
E45FF0EF,
EA5FF0EF,
2A40006F,
F8444703,
6400793,
......@@ -770,14 +746,14 @@ F8440793,
278793,
593,
78513,
AA9FF0EF,
B09FF0EF,
FAA42E23,
FBC42783,
F05863,
FBC42703,
17B7,
EEE7A423,
BC5FF0EF,
E8E7A423,
C25FF0EF,
2640006F,
F8444703,
7700793,
......@@ -787,19 +763,19 @@ F8440793,
FB040713,
70593,
78513,
A65FF0EF,
AC5FF0EF,
FCA42423,
FB042783,
FB040713,
70593,
78513,
A4DFF0EF,
AADFF0EF,
FCA42223,
FB042783,
FB040713,
70593,
78513,
A35FF0EF,
A95FF0EF,
FCA42023,
FC042703,
100793,
......@@ -825,7 +801,7 @@ FC842783,
FC442703,
E7A023,
1AC0006F,
D45FF0EF,
DA5FF0EF,
1A40006F,
F8444703,
7200793,
......@@ -836,13 +812,13 @@ F8440793,
FAC40713,
70593,
78513,
9A1FF0EF,
A01FF0EF,
FCA42823,
FAC42783,
FAC40713,
70593,
78513,
989FF0EF,
9E9FF0EF,
FCA42623,
FCC42703,
100793,
......@@ -851,8 +827,8 @@ FD042783,
7C783,
FCF42C23,
17B7,
EC478513,
BF8FF0EF,
E6478513,
C58FF0EF,
580006F,
FCC42703,
200793,
......@@ -861,8 +837,8 @@ FD042783,
79783,
FCF42C23,
17B7,
ECC78513,
BD0FF0EF,
E6C78513,
C30FF0EF,
300006F,
FCC42703,
400793,
......@@ -871,10 +847,10 @@ FD042783,
7A783,
FCF42C23,
17B7,
ED478513,
BA8FF0EF,
E7478513,
C08FF0EF,
80006F,
C81FF0EF,
CE1FF0EF,
FCC42703,
100793,
F70E63,
......@@ -894,23 +870,23 @@ F8440793,
90593,
98613,
78513,
E14FF0EF,
E74FF0EF,
F8440793,
78513,
B44FF0EF,
BA4FF0EF,
17B7,
EDC78513,
B38FF0EF,
E7C78513,
B98FF0EF,
F8440793,
FD842583,
78513,
C68FF0EF,
CC8FF0EF,
F8440793,
78513,
B1CFF0EF,
B7CFF0EF,
17B7,
EE078513,
B10FF0EF,
E8078513,
B70FF0EF,
FD842783,
78A13,
41F7D793,
......@@ -922,20 +898,20 @@ F8440793,
A0593,
A8613,
78513,
DA4FF0EF,
E04FF0EF,
F8440793,
78513,
AD4FF0EF,
B34FF0EF,
17B7,
EE478513,
AC8FF0EF,
E8478513,
B28FF0EF,
80006F,
BA1FF0EF,
C01FF0EF,
13,
97CFF0EF,
9DCFF0EF,
50793,
FE078CE3,
BF5FF06F,
C55FF06F,
2020,
202020,
20,
......@@ -1024,4 +1000,28 @@ CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
;
......@@ -131,24 +131,24 @@
:0807E000130101036780000012
:1007E800130101FB2326110423248104232221055C
:1007F80023203105232E4103232C51031304010523
:10080800B717000083A787EE93F707FF232AF4FCA6
:10080800B717000083A787E893F707FF232AF4FCAC
:10081800232C04FC6F00C01B832744FD13890700A9
:10082800930900009307C4FB130700039306800095
:10083800930509001386090013850700EFF09FBC94
:100848009307C4FB13850700EFF09F8FB7170000CD
:10085800138507EAEFF0DF8E232E04FC6F00400AB1
:10085800138507E4EFF0DF8E232E04FC6F00400AB7
:10086800832744FD2326F4FC0327C4FD832744FD86
:100878003307F700B717000083A787EE637AF700FE
:10088800B7170000138547EAEFF09F8B6F0000054C
:100878003307F700B717000083A787E8637AF70004
:10088800B7170000138547E4EFF09F8B6F00000552
:100898008327C4FD0327C4FCB307F70083C70700F9
:1008A800138A0700930A00009307C4FB1307000389
:1008B8009306200093050A0013860A001385070093
:1008C800EFF05FB49307C4FB13850700EFF05F8771
:1008D800B7170000138587EAEFF09F860327C4FD4A
:1008E800930770006318F700B71700001385C7EA6D
:1008D800B7170000138587E4EFF09F860327C4FD50
:1008E800930770006318F700B71700001385C7E473
:1008F800EFF01F858327C4FD93871700232EF4FC90
:100908000327C4FD9307F000E3DCE7F4B717000002
:10091800138507EBEFF0DF82232E04FC6F008007BE
:10091800138507E5EFF0DF82232E04FC6F008007C4
:10092800832744FD2328F4FC8327C4FD032704FD03
:10093800B307F70003C707009307F00163FAE7025C
:100948008327C4FD032704FDB307F70003C7070087
......@@ -157,12 +157,12 @@
:100978009307E002230EF4FAA30E04FA9307C4FBCC
:1009880013850700EFF0CFFB8327C4FD938717007B
:10099800232EF4FC0327C4FD9307F000E3D2E7F805
:1009A800B7170000138547EBEFF08FF9832744FD55
:1009A800B7170000138547E5EFF08FF9832744FD5B
:1009B80093870701232AF4FC832744FD93F7F70F55
:1009C80063800702832784FD93871700232CF4FC98
:1009D800032784FD9307F000E3D0E7E46F0080006D
:1009E80013000000B7170000138587EBEFF04FF5F1
:1009F800B7170000032744FD23A4E7EE1300000007
:1009E80013000000B7170000138587E5EFF04FF5F7
:1009F800B7170000032744FD23A4E7E8130000000D
:100A08008320C104032481040329410483290104A8
:100A1800032AC103832A81031301010567800000AB
:100A2800130101FF232681001304010113000000B4
......@@ -172,75 +172,69 @@
:100A6400232EA4F6232CB4F693071000232EF4FCB3
:100A7400B70700F093870710232AF4FC832744FD6B
:100A84009387C7001307201B23A0E700EFF0CFD7FD
:100A94009307050063880700B71700001385C7EBA9
:100A94009307050063880700B71700001385C7E5AF
:100AA400EFF04FEA8327C4FD93871700232EF4FC4D
:100AB400B70700F0938747000327C4FD23A0E7008E
:100AC4000327C4FDB7470F0093870724B367F702D2
:100AD40063900702930744F88325C4FD1385070038
:100AE400EFF04FFA930744F813850700EFF08FE512
:100AF400EFF00FD69307050063860704930744F8C5
:100B04009305700213850700EFF04FEA130000000D
:100B1400B7170000138507ECEFF0CFE2930744F812
:100B240013850700EFF00FE2B7170000138587EB7A
:100B3400EFF04FE1034744F8930720066316F70AE2
:100B44006F0040058327C4FD93871700232EF4FC10
:100B5400B70700F0938747000327C4FD23A0E700ED
:100B64000327C4FDB7470F0093870724B367F70231
:100B7400E39007F8930744F88325C4FD1385070021
:100B8400EFF04FF0930744F813850700EFF08FDB85
:100B94006FF01FF6930744F893872700930500002E
:100BA40013850700EFF0DFA0232CA4FA832784FB2E
:100BB4006358F002B70700F093870710232AF4FA6A
:100BC400B7F7FA0213870708832784FB3347F70232
:100BD400832744FB9387C70023A0E7006F00C02A44
:100BE400EFF05FE46F00402A034744F893074006A0
:100BF400631CF702930744F89387270093050000CA
:100C040013850700EFF09FAA232EA4FA8327C4FBC1
:100C14006358F0000327C4FBB717000023A4E7EED2
:100C2400EFF05FBC6F004026034744F8930770075A
:100C3400631CF70A930744F893872700130704FB00
:100C44009305070013850700EFF05FA62324A4FC97
:100C5400832704FB130704FB930507001385070090
:100C6400EFF0DFA42322A4FC832704FB130704FB77
:100C74009305070013850700EFF05FA32320A4FC6E
:100C8400032704FC93071000631CF700832784FCEC
:100C9400032744FC1377F70F2380E7006F00C01E7F
:100CA400032704FC93072000631EF700832784FCBA
:100CB400032744FC13170701135707412390E70048
:100CC4006F00801C032704FC93074000631AF7009D
:100CD400832784FC032744FC23A0E7006F00C01A89
:100CE400EFF05FD46F00401A034744F893072007DE
:100CF400631AF718232C04FC930744F893872700FE
:100D04001307C4FA9305070013850700EFF01F9A31
:100D14002328A4FC8327C4FA1307C4FA9305070005
:100D240013850700EFF09F982326A4FC0327C4FC37
:100D3400930710006310F702832704FD83C707009D
:100D4400232CF4FCB7170000138547ECEFF08FBF9A
:100D54006F0080050327C4FC930720006310F7028B
:100D6400832704FD83970700232CF4FCB7170000A6
:100D74001385C7ECEFF00FBD6F0000030327C4FC1D
:100D8400930740006310F702832704FD83A707003D
:100D9400232CF4FCB7170000138547EDEFF08FBA4E
:100DA4006F008000EFF01FC80327C4FC93071000F6
:100DB400630EF7000327C4FC930720006308F700C1
:100DC4000327C4FC930740006310F70C832704FD3A
:100DD4001389070093D7F74193890700930744F8D1
:100DE4001307000393068000930509001386090086
:100DF40013850700EFF04FE1930744F813850700CC
:100E0400EFF04FB4B71700001385C7EDEFF08FB3C1
:100E1400930744F8832584FD13850700EFF08FC6FC
:100E2400930744F813850700EFF0CFB1B71700001C
:100E3400138507EEEFF00FB1832784FD138A0700B3
:100E440093D7F741938A07008327C4FC939617002E
:100E5400930744F81307000393050A0013860A0056
:100E640013850700EFF04FDA930744F81385070062
:100E7400EFF04FADB7170000138547EEEFF08FACDE
:100E84006F008000EFF01FBA13000000EFF0CF975F
:0C0E940093070500E38C07FE6FF05FBFC2
:100EA0002020000020202000200000002D20000035
:100EB00020207C007C0A00000A0D00003E3E00005D
:100EC0000A0D3A00636861722040000073686F7217
:100ED00074204000696E742040000000203D200016
:080EE00028000000290A0D00A2
:040EE8000100000005
:100AC400EFF00FD99307050063860704930744F8F2
:100AD4009305700213850700EFF04FED130000003B
:100AE400B7170000138507E6EFF0CFE5930744F846
:100AF40013850700EFF00FE5B7170000138587E5AE
:100B0400EFF04FE4034744F893072006631EF7060B
:100B14006F0040028327C4FD93871700232EF4FC43
:100B2400B70700F0938747000327C4FD23A0E7001D
:100B34006FF01FF9930744F893872700930500008B
:100B440013850700EFF0DFA6232CA4FA832784FB88
:100B54006358F002B70700F093870710232AF4FACA
:100B6400B7F7FA0213870708832784FB3347F70292
:100B7400832744FB9387C70023A0E7006F00C02AA4
:100B8400EFF05FEA6F00402A034744F893074006FA
:100B9400631CF702930744F893872700930500002A
:100BA40013850700EFF09FB0232EA4FA8327C4FB1C
:100BB4006358F0000327C4FBB717000023A4E7E839
:100BC400EFF05FC26F004026034744F893077007B5
:100BD400631CF70A930744F893872700130704FB61
:100BE4009305070013850700EFF05FAC2324A4FCF2
:100BF400832704FB130704FB9305070013850700F1
:100C0400EFF0DFAA2322A4FC832704FB130704FBD1
:100C14009305070013850700EFF05FA92320A4FCC8
:100C2400032704FC93071000631CF700832784FC4C
:100C3400032744FC1377F70F2380E7006F00C01EDF
:100C4400032704FC93072000631EF700832784FC1A
:100C5400032744FC13170701135707412390E700A8
:100C64006F00801C032704FC93074000631AF700FD
:100C7400832784FC032744FC23A0E7006F00C01AE9
:100C8400EFF05FDA6F00401A034744F89307200738
:100C9400631AF718232C04FC930744F8938727005E
:100CA4001307C4FA9305070013850700EFF01FA08C
:100CB4002328A4FC8327C4FA1307C4FA9305070066
:100CC40013850700EFF09F9E2326A4FC0327C4FC92
:100CD400930710006310F702832704FD83C70700FE
:100CE400232CF4FCB7170000138547E6EFF08FC5FB
:100CF4006F0080050327C4FC930720006310F702EC
:100D0400832704FD83970700232CF4FCB717000006
:100D14001385C7E6EFF00FC36F0000030327C4FC7D
:100D2400930740006310F702832704FD83A707009D
:100D3400232CF4FCB7170000138547E7EFF08FC0AE
:100D44006F008000EFF01FCE0327C4FC9307100050
:100D5400630EF7000327C4FC930720006308F70021
:100D64000327C4FC930740006310F70C832704FD9A
:100D74001389070093D7F74193890700930744F831
:100D840013070003930680009305090013860900E6
:100D940013850700EFF04FE7930744F81385070026
:100DA400EFF04FBAB71700001385C7E7EFF08FB91C
:100DB400930744F8832584FD13850700EFF08FCC57
:100DC400930744F813850700EFF0CFB7B717000077
:100DD400138507E8EFF00FB7832784FD138A070014
:100DE40093D7F741938A07008327C4FC939617008F
:100DF400930744F81307000393050A0013860A00B7
:100E040013850700EFF04FE0930744F813850700BC
:100E1400EFF04FB3B7170000138547E8EFF08FB238
:100E24006F008000EFF01FC013000000EFF0CF9DB3
:0C0E340093070500E38C07FE6FF05FC51C
:100E40002020000020202000200000002D20000095
:100E500020207C007C0A00000A0D00003E3E0000BD
:100E60000A0D3A00636861722040000073686F7277
:100E700074204000696E742040000000203D200076
:080E800028000000290A0D0002
:040E88000100000065
:00000001FF
......@@ -10,7 +10,7 @@ ELF Header:
Version: 0x1
Entry point address: 0x0
Start of program headers: 52 (bytes into file)
Start of section headers: 8936 (bytes into file)
Start of section headers: 8840 (bytes into file)
Flags: 0x0
Size of this header: 52 (bytes)
Size of program headers: 32 (bytes)
......@@ -35,14 +35,14 @@ Section Headers:
[11] .text._s2h PROGBITS 000006b0 0016b0 000138 00 AX 0 0 4
[12] .text.dispmem PROGBITS 000007e8 0017e8 000240 00 AX 0 0 4
[13] .text.printhelp PROGBITS 00000a28 001a28 00001c 00 AX 0 0 4
[14] .text.main PROGBITS 00000a44 001a44 00045c 00 AX 0 0 4
[15] .rodata PROGBITS 00000ea0 001ea0 000048 00 A 0 0 4
[16] .sdata.displ[...] PROGBITS 00000ee8 001ee8 000004 00 WA 0 0 4
[17] .comment PROGBITS 00000000 001eec 000012 01 MS 0 0 1
[18] .riscv.attributes RISCV_ATTRIBUTE 00000000 001efe 000021 00 0 0 1
[19] .symtab SYMTAB 00000000 001f20 000240 10 20 33 4
[20] .strtab STRTAB 00000000 002160 000082 00 0 0 1
[21] .shstrtab STRTAB 00000000 0021e2 000103 00 0 0 1
[14] .text.main PROGBITS 00000a44 001a44 0003fc 00 AX 0 0 4
[15] .rodata PROGBITS 00000e40 001e40 000048 00 A 0 0 4
[16] .sdata.displ[...] PROGBITS 00000e88 001e88 000004 00 WA 0 0 4
[17] .comment PROGBITS 00000000 001e8c 000012 01 MS 0 0 1
[18] .riscv.attributes RISCV_ATTRIBUTE 00000000 001e9e 000021 00 0 0 1
[19] .symtab SYMTAB 00000000 001ec0 000240 10 20 33 4
[20] .strtab STRTAB 00000000 002100 000082 00 0 0 1
[21] .shstrtab STRTAB 00000000 002182 000103 00 0 0 1
Key to Flags:
W (write), A (alloc), X (execute), M (merge), S (strings), I (info),
L (link order), O (extra OS processing required), G (group), T (TLS),
......@@ -53,7 +53,7 @@ There are no section groups in this file.
Program Headers:
Type Offset VirtAddr PhysAddr FileSiz MemSiz Flg Align
LOAD 0x001000 0x00000000 0x00000000 0x00eec 0x00eec RWE 0x1000
LOAD 0x001000 0x00000000 0x00000000 0x00e8c 0x00e8c RWE 0x1000
Section to Segment mapping:
Segment Sections...
......@@ -82,8 +82,8 @@ Symbol table '.symtab' contains 36 entries:
12: 000007e8 0 SECTION LOCAL DEFAULT 12 .text.dispmem
13: 00000a28 0 SECTION LOCAL DEFAULT 13 .text.printhelp
14: 00000a44 0 SECTION LOCAL DEFAULT 14 .text.main
15: 00000ea0 0 SECTION LOCAL DEFAULT 15 .rodata
16: 00000ee8 0 SECTION LOCAL DEFAULT 16 .sdata.displayaddr
15: 00000e40 0 SECTION LOCAL DEFAULT 15 .rodata
16: 00000e88 0 SECTION LOCAL DEFAULT 16 .sdata.displayaddr
17: 00000000 0 SECTION LOCAL DEFAULT 17 .comment
18: 00000000 0 SECTION LOCAL DEFAULT 18 .riscv.attributes
19: 00000000 0 FILE LOCAL DEFAULT ABS console.c
......@@ -97,12 +97,12 @@ Symbol table '.symtab' contains 36 entries:
27: 0000040c 424 FUNC LOCAL DEFAULT 9 _h2s
28: 000005b4 252 FUNC LOCAL DEFAULT 10 _s2d
29: 000006b0 312 FUNC LOCAL DEFAULT 11 _s2h
30: 00000ee8 4 OBJECT LOCAL DEFAULT 16 displayaddr
30: 00000e88 4 OBJECT LOCAL DEFAULT 16 displayaddr
31: 000007e8 576 FUNC LOCAL DEFAULT 12 dispmem
32: 00000a28 28 FUNC LOCAL DEFAULT 13 printhelp
33: 00000000 12 FUNC GLOBAL DEFAULT 1 __start
34: 00000a44 1116 FUNC GLOBAL DEFAULT 14 main
35: 00000eec 0 NOTYPE GLOBAL DEFAULT 16 _end
34: 00000a44 1020 FUNC GLOBAL DEFAULT 14 main
35: 00000e8c 0 NOTYPE GLOBAL DEFAULT 16 _end
No version information found in this file.
Attribute Section: riscv
......
......@@ -519,7 +519,7 @@ BEGIN
0200 : 03512C23;
0201 : 05010413;
0202 : 000017B7;
0203 : EE87A783;
0203 : E887A783;
0204 : FF07F793;
0205 : FCF42A23;
0206 : FC042C23;
......@@ -538,7 +538,7 @@ BEGIN
0213 : 00078513;
0214 : 8F9FF0EF;
0215 : 000017B7;
0216 : EA078513;
0216 : E4078513;
0217 : 8EDFF0EF;
0218 : FC042E23;
0219 : 0A40006F;
......@@ -548,10 +548,10 @@ BEGIN
021D : FD442783;
021E : 00F70733;
021F : 000017B7;
0220 : EE87A783;
0220 : E887A783;
0221 : 00F77A63;
0222 : 000017B7;
0223 : EA478513;
0223 : E4478513;
0224 : 8B9FF0EF;
0225 : 0500006F;
0226 : FDC42783;
......@@ -571,13 +571,13 @@ BEGIN
0234 : 00078513;
0235 : 875FF0EF;
0236 : 000017B7;
0237 : EA878513;
0237 : E4878513;
0238 : 869FF0EF;
0239 : FDC42703;
023A : 00700793;
023B : 00F71863;
023C : 000017B7;
023D : EAC78513;
023D : E4C78513;
023E : 851FF0EF;
023F : FDC42783;
0240 : 00178793;
......@@ -586,7 +586,7 @@ BEGIN
0243 : 00F00793;
0244 : F4E7DCE3;
0245 : 000017B7;
0246 : EB078513;
0246 : E5078513;
0247 : 82DFF0EF;
0248 : FC042E23;
0249 : 0780006F;
......@@ -623,7 +623,7 @@ BEGIN
0268 : 00F00793;
0269 : F8E7D2E3;
026A : 000017B7;
026B : EB478513;
026B : E5478513;
026C : F98FF0EF;
026D : FD442783;
026E : 01078793;
......@@ -640,11 +640,11 @@ BEGIN
0279 : 0080006F;
027A : 00000013;
027B : 000017B7;
027C : EB878513;
027C : E5878513;
027D : F54FF0EF;
027E : 000017B7;
027F : FD442703;
0280 : EEE7A423;
0280 : E8E7A423;
0281 : 00000013;
0282 : 04C12083;
0283 : 04812403;
......@@ -684,7 +684,7 @@ BEGIN
02A5 : 00050793;
02A6 : 00078863;
02A7 : 000017B7;
02A8 : EBC78513;
02A8 : E5C78513;
02A9 : EA4FF0EF;
02AA : FDC42783;
02AB : 00178793;
......@@ -693,273 +693,273 @@ BEGIN
02AE : 00478793;
02AF : FDC42703;
02B0 : 00E7A023;
02B1 : FDC42703;
02B2 : 000F47B7;
02B3 : 24078793;
02B4 : 02F767B3;
02B5 : 02079063;
02B6 : F8440793;
02B7 : FDC42583;
02B8 : 00078513;
02B9 : FA4FF0EF;
02BA : F8440793;
02BB : 00078513;
02BC : E58FF0EF;
02BD : D60FF0EF;
02BE : 00050793;
02BF : 04078663;
02C0 : F8440793;
02C1 : 02700593;
02C2 : 00078513;
02C3 : EA4FF0EF;
02C4 : 00000013;
02C5 : 000017B7;
02C6 : EC078513;
02C7 : E2CFF0EF;
02C8 : F8440793;
02C9 : 00078513;
02CA : E20FF0EF;
02CB : 000017B7;
02CC : EB878513;
02CD : E14FF0EF;
02CE : F8444703;
02CF : 06200793;
02D0 : 0AF71663;
02D1 : 0540006F;
02D2 : FDC42783;
02D3 : 00178793;
02D4 : FCF42E23;
02D5 : F00007B7;
02D6 : 00478793;
02D7 : FDC42703;
02D8 : 00E7A023;
02D9 : FDC42703;
02DA : 000F47B7;
02DB : 24078793;
02DC : 02F767B3;
02DD : F80790E3;
02DE : F8440793;
02DF : FDC42583;
02E0 : 00078513;
02E1 : F04FF0EF;
02E2 : F8440793;
02E3 : 00078513;
02E4 : DB8FF0EF;
02E5 : F61FF06F;
02B1 : D90FF0EF;
02B2 : 00050793;
02B3 : 04078663;
02B4 : F8440793;
02B5 : 02700593;
02B6 : 00078513;
02B7 : ED4FF0EF;
02B8 : 00000013;
02B9 : 000017B7;
02BA : E6078513;
02BB : E5CFF0EF;
02BC : F8440793;
02BD : 00078513;
02BE : E50FF0EF;
02BF : 000017B7;
02C0 : E5878513;
02C1 : E44FF0EF;
02C2 : F8444703;
02C3 : 06200793;
02C4 : 06F71E63;
02C5 : 0240006F;
02C6 : FDC42783;
02C7 : 00178793;
02C8 : FCF42E23;
02C9 : F00007B7;
02CA : 00478793;
02CB : FDC42703;
02CC : 00E7A023;
02CD : F91FF06F;
02CE : F8440793;
02CF : 00278793;
02D0 : 00000593;
02D1 : 00078513;
02D2 : A6DFF0EF;
02D3 : FAA42C23;
02D4 : FB842783;
02D5 : 02F05863;
02D6 : F00007B7;
02D7 : 10078793;
02D8 : FAF42A23;
02D9 : 02FAF7B7;
02DA : 08078713;
02DB : FB842783;
02DC : 02F74733;
02DD : FB442783;
02DE : 00C78793;
02DF : 00E7A023;
02E0 : 2AC0006F;
02E1 : EA5FF0EF;
02E2 : 2A40006F;
02E3 : F8444703;
02E4 : 06400793;
02E5 : 02F71C63;
02E6 : F8440793;
02E7 : 00278793;
02E8 : 00000593;
02E9 : 00078513;
02EA : A0DFF0EF;
02EB : FAA42C23;
02EC : FB842783;
02ED : 02F05863;
02EE : F00007B7;
02EF : 10078793;
02F0 : FAF42A23;
02F1 : 02FAF7B7;
02F2 : 08078713;
02F3 : FB842783;
02F4 : 02F74733;
02F5 : FB442783;
02F6 : 00C78793;
02F7 : 00E7A023;
02F8 : 2AC0006F;
02F9 : E45FF0EF;
02FA : 2A40006F;
02FB : F8444703;
02FC : 06400793;
02FD : 02F71C63;
02FE : F8440793;
02FF : 00278793;
0300 : 00000593;
0301 : 00078513;
0302 : AA9FF0EF;
0303 : FAA42E23;
0304 : FBC42783;
0305 : 00F05863;
0306 : FBC42703;
0307 : 000017B7;
0308 : EEE7A423;
0309 : BC5FF0EF;
030A : 2640006F;
030B : F8444703;
030C : 07700793;
030D : 0AF71C63;
030E : F8440793;
030F : 00278793;
0310 : FB040713;
0311 : 00070593;
0312 : 00078513;
0313 : A65FF0EF;
0314 : FCA42423;
0315 : FB042783;
0316 : FB040713;
0317 : 00070593;
0318 : 00078513;
0319 : A4DFF0EF;
031A : FCA42223;
031B : FB042783;
031C : FB040713;
031D : 00070593;
031E : 00078513;
031F : A35FF0EF;
0320 : FCA42023;
0321 : FC042703;
0322 : 00100793;
0323 : 00F71C63;
0324 : FC842783;
0325 : FC442703;
0326 : 0FF77713;
0327 : 00E78023;
0328 : 1EC0006F;
0329 : FC042703;
032A : 00200793;
032B : 00F71E63;
032C : FC842783;
032D : FC442703;
032E : 01071713;
032F : 41075713;
0330 : 00E79023;
0331 : 1C80006F;
0332 : FC042703;
0333 : 00400793;
0334 : 00F71A63;
0335 : FC842783;
0336 : FC442703;
0337 : 00E7A023;
0338 : 1AC0006F;
0339 : D45FF0EF;
033A : 1A40006F;
033B : F8444703;
033C : 07200793;
033D : 18F71A63;
033E : FC042C23;
033F : F8440793;
0340 : 00278793;
0341 : FAC40713;
0342 : 00070593;
0343 : 00078513;
0344 : 9A1FF0EF;
0345 : FCA42823;
0346 : FAC42783;
0347 : FAC40713;
0348 : 00070593;
0349 : 00078513;
034A : 989FF0EF;
034B : FCA42623;
034C : FCC42703;
034D : 00100793;
034E : 02F71063;
034F : FD042783;
0350 : 0007C783;
0351 : FCF42C23;
0352 : 000017B7;
0353 : EC478513;
0354 : BF8FF0EF;
0355 : 0580006F;
02EA : B09FF0EF;
02EB : FAA42E23;
02EC : FBC42783;
02ED : 00F05863;
02EE : FBC42703;
02EF : 000017B7;
02F0 : E8E7A423;
02F1 : C25FF0EF;
02F2 : 2640006F;
02F3 : F8444703;
02F4 : 07700793;
02F5 : 0AF71C63;
02F6 : F8440793;
02F7 : 00278793;
02F8 : FB040713;
02F9 : 00070593;
02FA : 00078513;
02FB : AC5FF0EF;
02FC : FCA42423;
02FD : FB042783;
02FE : FB040713;
02FF : 00070593;
0300 : 00078513;
0301 : AADFF0EF;
0302 : FCA42223;
0303 : FB042783;
0304 : FB040713;
0305 : 00070593;
0306 : 00078513;
0307 : A95FF0EF;
0308 : FCA42023;
0309 : FC042703;
030A : 00100793;
030B : 00F71C63;
030C : FC842783;
030D : FC442703;
030E : 0FF77713;
030F : 00E78023;
0310 : 1EC0006F;
0311 : FC042703;
0312 : 00200793;
0313 : 00F71E63;
0314 : FC842783;
0315 : FC442703;
0316 : 01071713;
0317 : 41075713;
0318 : 00E79023;
0319 : 1C80006F;
031A : FC042703;
031B : 00400793;
031C : 00F71A63;
031D : FC842783;
031E : FC442703;
031F : 00E7A023;
0320 : 1AC0006F;
0321 : DA5FF0EF;
0322 : 1A40006F;
0323 : F8444703;
0324 : 07200793;
0325 : 18F71A63;
0326 : FC042C23;
0327 : F8440793;
0328 : 00278793;
0329 : FAC40713;
032A : 00070593;
032B : 00078513;
032C : A01FF0EF;
032D : FCA42823;
032E : FAC42783;
032F : FAC40713;
0330 : 00070593;
0331 : 00078513;
0332 : 9E9FF0EF;
0333 : FCA42623;
0334 : FCC42703;
0335 : 00100793;
0336 : 02F71063;
0337 : FD042783;
0338 : 0007C783;
0339 : FCF42C23;
033A : 000017B7;
033B : E6478513;
033C : C58FF0EF;
033D : 0580006F;
033E : FCC42703;
033F : 00200793;
0340 : 02F71063;
0341 : FD042783;
0342 : 00079783;
0343 : FCF42C23;
0344 : 000017B7;
0345 : E6C78513;
0346 : C30FF0EF;
0347 : 0300006F;
0348 : FCC42703;
0349 : 00400793;
034A : 02F71063;
034B : FD042783;
034C : 0007A783;
034D : FCF42C23;
034E : 000017B7;
034F : E7478513;
0350 : C08FF0EF;
0351 : 0080006F;
0352 : CE1FF0EF;
0353 : FCC42703;
0354 : 00100793;
0355 : 00F70E63;
0356 : FCC42703;
0357 : 00200793;
0358 : 02F71063;
0359 : FD042783;
035A : 00079783;
035B : FCF42C23;
035C : 000017B7;
035D : ECC78513;
035E : BD0FF0EF;
035F : 0300006F;
0360 : FCC42703;
0361 : 00400793;
0362 : 02F71063;
0363 : FD042783;
0364 : 0007A783;
0365 : FCF42C23;
0366 : 000017B7;
0367 : ED478513;
0368 : BA8FF0EF;
0369 : 0080006F;
036A : C81FF0EF;
036B : FCC42703;
036C : 00100793;
036D : 00F70E63;
036E : FCC42703;
036F : 00200793;
0370 : 00F70863;
0371 : FCC42703;
0372 : 00400793;
0373 : 0CF71063;
0374 : FD042783;
0375 : 00078913;
0376 : 41F7D793;
0377 : 00078993;
0378 : F8440793;
0379 : 03000713;
037A : 00800693;
037B : 00090593;
037C : 00098613;
037D : 00078513;
037E : E14FF0EF;
037F : F8440793;
0380 : 00078513;
0381 : B44FF0EF;
0382 : 000017B7;
0383 : EDC78513;
0384 : B38FF0EF;
0385 : F8440793;
0386 : FD842583;
0387 : 00078513;
0388 : C68FF0EF;
0389 : F8440793;
038A : 00078513;
038B : B1CFF0EF;
038C : 000017B7;
038D : EE078513;
038E : B10FF0EF;
038F : FD842783;
0390 : 00078A13;
0391 : 41F7D793;
0392 : 00078A93;
0393 : FCC42783;
0394 : 00179693;
0395 : F8440793;
0396 : 03000713;
0397 : 000A0593;
0398 : 000A8613;
0399 : 00078513;
039A : DA4FF0EF;
039B : F8440793;
039C : 00078513;
039D : AD4FF0EF;
039E : 000017B7;
039F : EE478513;
03A0 : AC8FF0EF;
03A1 : 0080006F;
03A2 : BA1FF0EF;
03A3 : 00000013;
03A4 : 97CFF0EF;
03A5 : 00050793;
03A6 : FE078CE3;
03A7 : BF5FF06F;
03A8 : 00002020;
03A9 : 00202020;
03AA : 00000020;
03AB : 0000202D;
03AC : 007C2020;
03AD : 00000A7C;
03AE : 00000D0A;
03AF : 00003E3E;
03B0 : 003A0D0A;
03B1 : 72616863;
03B2 : 00004020;
03B3 : 726F6873;
03B4 : 00402074;
03B5 : 20746E69;
03B6 : 00000040;
03B7 : 00203D20;
03B8 : 00000028;
03B9 : 000D0A29;
03BA : 00000001;
03BB : 00000001;
0358 : 00F70863;
0359 : FCC42703;
035A : 00400793;
035B : 0CF71063;
035C : FD042783;
035D : 00078913;
035E : 41F7D793;
035F : 00078993;
0360 : F8440793;
0361 : 03000713;
0362 : 00800693;
0363 : 00090593;
0364 : 00098613;
0365 : 00078513;
0366 : E74FF0EF;
0367 : F8440793;
0368 : 00078513;
0369 : BA4FF0EF;
036A : 000017B7;
036B : E7C78513;
036C : B98FF0EF;
036D : F8440793;
036E : FD842583;
036F : 00078513;
0370 : CC8FF0EF;
0371 : F8440793;
0372 : 00078513;
0373 : B7CFF0EF;
0374 : 000017B7;
0375 : E8078513;
0376 : B70FF0EF;
0377 : FD842783;
0378 : 00078A13;
0379 : 41F7D793;
037A : 00078A93;
037B : FCC42783;
037C : 00179693;
037D : F8440793;
037E : 03000713;
037F : 000A0593;
0380 : 000A8613;
0381 : 00078513;
0382 : E04FF0EF;
0383 : F8440793;
0384 : 00078513;
0385 : B34FF0EF;
0386 : 000017B7;
0387 : E8478513;
0388 : B28FF0EF;
0389 : 0080006F;
038A : C01FF0EF;
038B : 00000013;
038C : 9DCFF0EF;
038D : 00050793;
038E : FE078CE3;
038F : C55FF06F;
0390 : 00002020;
0391 : 00202020;
0392 : 00000020;
0393 : 0000202D;
0394 : 007C2020;
0395 : 00000A7C;
0396 : 00000D0A;
0397 : 00003E3E;
0398 : 003A0D0A;
0399 : 72616863;
039A : 00004020;
039B : 726F6873;
039C : 00402074;
039D : 20746E69;
039E : 00000040;
039F : 00203D20;
03A0 : 00000028;
03A1 : 000D0A29;
03A2 : 00000001;
03A3 : 00000001;
03A4 : CDCDCDCD;
03A5 : CDCDCDCD;
03A6 : CDCDCDCD;
03A7 : CDCDCDCD;
03A8 : CDCDCDCD;
03A9 : CDCDCDCD;
03AA : CDCDCDCD;
03AB : CDCDCDCD;
03AC : CDCDCDCD;
03AD : CDCDCDCD;
03AE : CDCDCDCD;
03AF : CDCDCDCD;
03B0 : CDCDCDCD;
03B1 : CDCDCDCD;
03B2 : CDCDCDCD;
03B3 : CDCDCDCD;
03B4 : CDCDCDCD;
03B5 : CDCDCDCD;
03B6 : CDCDCDCD;
03B7 : CDCDCDCD;
03B8 : CDCDCDCD;
03B9 : CDCDCDCD;
03BA : CDCDCDCD;
03BB : CDCDCDCD;
03BC : CDCDCDCD;
03BD : CDCDCDCD;
03BE : CDCDCDCD;
......
......@@ -3,10 +3,10 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pa" timeStamp="Mon Sep 13 21:25:07 2021">
<application name="pa" timeStamp="Mon Sep 13 22:06:07 2021">
<section name="Project Information" visible="false">
<property name="ProjectID" value="a22297d9082e42c7bf714eb0954ec847" type="ProjectID"/>
<property name="ProjectIteration" value="29" type="ProjectIteration"/>
<property name="ProjectIteration" value="37" type="ProjectIteration"/>
</section>
<section name="PlanAhead Usage" visible="true">
<item name="Project Data">
......@@ -19,48 +19,48 @@ This means code written to parse this file will need to be revisited each subseq
<item name="Java Command Handlers">
<property name="AddSources" value="7" type="JavaHandler"/>
<property name="AutoConnectTarget" value="5" type="JavaHandler"/>
<property name="CoreView" value="2" type="JavaHandler"/>
<property name="CoreView" value="3" type="JavaHandler"/>
<property name="CreateBlockDesign" value="1" type="JavaHandler"/>
<property name="CreateTopHDL" value="1" type="JavaHandler"/>
<property name="CustomizeCore" value="2" type="JavaHandler"/>
<property name="CustomizeRSBBlock" value="8" type="JavaHandler"/>
<property name="EditDelete" value="9" type="JavaHandler"/>
<property name="CustomizeRSBBlock" value="10" type="JavaHandler"/>
<property name="EditDelete" value="12" type="JavaHandler"/>
<property name="EditProperties" value="2" type="JavaHandler"/>
<property name="ExitApp" value="4" type="JavaHandler"/>
<property name="ExitApp" value="5" type="JavaHandler"/>
<property name="IPPackagerWizardHandler" value="4" type="JavaHandler"/>
<property name="LaunchProgramFpga" value="15" type="JavaHandler"/>
<property name="ManageCompositeTargets" value="2" type="JavaHandler"/>
<property name="OpenBlockDesign" value="8" type="JavaHandler"/>
<property name="OpenHardwareManager" value="21" type="JavaHandler"/>
<property name="LaunchProgramFpga" value="21" type="JavaHandler"/>
<property name="ManageCompositeTargets" value="7" type="JavaHandler"/>
<property name="OpenBlockDesign" value="13" type="JavaHandler"/>
<property name="OpenHardwareManager" value="27" type="JavaHandler"/>
<property name="OpenProject" value="1" type="JavaHandler"/>
<property name="OpenRecentTarget" value="6" type="JavaHandler"/>
<property name="ProgramDevice" value="15" type="JavaHandler"/>
<property name="ProgramDevice" value="21" type="JavaHandler"/>
<property name="ProjectSummary" value="8" type="JavaHandler"/>
<property name="RecustomizeCore" value="11" type="JavaHandler"/>
<property name="RunBitgen" value="29" type="JavaHandler"/>
<property name="RunImplementation" value="1" type="JavaHandler"/>
<property name="RunSynthesis" value="4" type="JavaHandler"/>
<property name="RecustomizeCore" value="14" type="JavaHandler"/>
<property name="RunBitgen" value="37" type="JavaHandler"/>
<property name="RunImplementation" value="2" type="JavaHandler"/>
<property name="RunSynthesis" value="5" type="JavaHandler"/>
<property name="SaveRSBDesign" value="1" type="JavaHandler"/>
<property name="ShowProductGuide" value="1" type="JavaHandler"/>
<property name="ShowProductWebPage" value="2" type="JavaHandler"/>
<property name="ShowView" value="11" type="JavaHandler"/>
<property name="ShowView" value="12" type="JavaHandler"/>
<property name="SimulationRestart" value="18" type="JavaHandler"/>
<property name="SimulationRun" value="15" type="JavaHandler"/>
<property name="SimulationRunForTime" value="46" type="JavaHandler"/>
<property name="TimingConstraintsWizard" value="1" type="JavaHandler"/>
<property name="ToolsSettings" value="2" type="JavaHandler"/>
<property name="ViewTaskProjectManager" value="6" type="JavaHandler"/>
<property name="ViewTaskProjectManager" value="10" type="JavaHandler"/>
<property name="ViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
</item>
<item name="Gui Handlers">
<property name="ApplyRSBMultiAutomationDialog_CHECKBOX_TREE" value="2" type="GuiHandlerData"/>
<property name="ApplyRSBMultiAutomationDialog_CHECKBOX_TREE" value="6" type="GuiHandlerData"/>
<property name="BaseDialog_APPLY" value="1" type="GuiHandlerData"/>
<property name="BaseDialog_CANCEL" value="21" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="66" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="74" type="GuiHandlerData"/>
<property name="BaseDialog_YES" value="7" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OK" value="15" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="1" type="GuiHandlerData"/>
<property name="CoreTreeTablePanel_CORE_TREE_TABLE" value="21" type="GuiHandlerData"/>
<property name="CoreTreeTablePanel_CORE_TREE_TABLE" value="30" type="GuiHandlerData"/>
<property name="CreateConstraintsFilePanel_FILE_NAME" value="1" type="GuiHandlerData"/>
<property name="CreateNewDiagramDialog_DESIGN_NAME" value="1" type="GuiHandlerData"/>
<property name="CreateRSBPortDialog_ACTIVE_LOW" value="1" type="GuiHandlerData"/>
......@@ -73,11 +73,11 @@ This means code written to parse this file will need to be revisited each subseq
<property name="CreateSrcFileDialog_FILE_NAME" value="1" type="GuiHandlerData"/>
<property name="CustomizeCoreDialog_DOCUMENTATION" value="3" type="GuiHandlerData"/>
<property name="DefineModulesDialog_ENTITY_NAME" value="1" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="168" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="113" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="183" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="145" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_IN" value="11" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_OUT" value="2" type="GuiHandlerData"/>
<property name="HACGCCoeFileWidget_BROWSE" value="8" type="GuiHandlerData"/>
<property name="HACGCCoeFileWidget_BROWSE" value="9" type="GuiHandlerData"/>
<property name="HPopupTitle_CLOSE" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_FILE" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_FLOW" value="2" type="GuiHandlerData"/>
......@@ -86,8 +86,8 @@ This means code written to parse this file will need to be revisited each subseq
<property name="MainMenuMgr_TOOLS" value="10" type="GuiHandlerData"/>
<property name="MainMenuMgr_WINDOW" value="20" type="GuiHandlerData"/>
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="2" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="31" type="GuiHandlerData"/>
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="18" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="32" type="GuiHandlerData"/>
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="21" type="GuiHandlerData"/>
<property name="MsgView_CRITICAL_WARNINGS" value="3" type="GuiHandlerData"/>
<property name="MsgView_INFORMATION_MESSAGES" value="5" type="GuiHandlerData"/>
<property name="MsgView_WARNING_MESSAGES" value="6" type="GuiHandlerData"/>
......@@ -110,12 +110,13 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="15" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_SETTINGS" value="1" type="GuiHandlerData"/>
<property name="PAViews_ADDRESS_EDITOR" value="2" type="GuiHandlerData"/>
<property name="PAViews_CODE" value="12" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="28" type="GuiHandlerData"/>
<property name="PAViews_CODE" value="13" type="GuiHandlerData"/>
<property name="PAViews_IP_CATALOG" value="1" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="34" type="GuiHandlerData"/>
<property name="PAViews_SCHEMATIC" value="1" type="GuiHandlerData"/>
<property name="PAViews_SYSTEM" value="1" type="GuiHandlerData"/>
<property name="PlanAheadTab_REFRESH_CHANGED_MODULES" value="22" type="GuiHandlerData"/>
<property name="ProgramFpgaDialog_PROGRAM" value="15" type="GuiHandlerData"/>
<property name="ProgramFpgaDialog_PROGRAM" value="21" type="GuiHandlerData"/>
<property name="ProgressDialog_BACKGROUND" value="1" type="GuiHandlerData"/>
<property name="PropertiesView_NEXT_OBJECT" value="5" type="GuiHandlerData"/>
<property name="PropertiesView_PREVIOUS_OBJECT" value="17" type="GuiHandlerData"/>
......@@ -126,16 +127,16 @@ This means code written to parse this file will need to be revisited each subseq
<property name="RDICommands_SETTINGS" value="1" type="GuiHandlerData"/>
<property name="RDIViews_WAVEFORM_VIEWER" value="241" type="GuiHandlerData"/>
<property name="RSBAddModuleDialog_HIDE_INCOMPATIBLE_MODULES" value="2" type="GuiHandlerData"/>
<property name="RSBAddModuleDialog_MODULE_LIST" value="5" type="GuiHandlerData"/>
<property name="RSBAddModuleDialog_MODULE_LIST" value="6" type="GuiHandlerData"/>
<property name="RSBAddModuleDialog_MODULE_TYPE" value="2" type="GuiHandlerData"/>
<property name="RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION" value="4" type="GuiHandlerData"/>
<property name="RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION" value="7" type="GuiHandlerData"/>
<property name="RSBBasePortTablePanel_PINS_TABLE" value="2" type="GuiHandlerData"/>
<property name="RTLOptionsPanel_SELECT_TOP_MODULE_OF_YOUR_DESIGN" value="1" type="GuiHandlerData"/>
<property name="SaveProjectUtils_SAVE" value="2" type="GuiHandlerData"/>
<property name="SelectMenu_HIGHLIGHT" value="5" type="GuiHandlerData"/>
<property name="SelectTopModuleDialog_SELECT_TOP_MODULE" value="1" type="GuiHandlerData"/>
<property name="SignalTreePanel_SIGNAL_TREE_TABLE" value="37" type="GuiHandlerData"/>
<property name="SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY" value="12" type="GuiHandlerData"/>
<property name="SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY" value="18" type="GuiHandlerData"/>
<property name="SimpleOutputProductDialog_SYNTHESIZE_DESIGN_GLOBALLY" value="3" type="GuiHandlerData"/>
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="145" type="GuiHandlerData"/>
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="83" type="GuiHandlerData"/>
......@@ -144,9 +145,10 @@ This means code written to parse this file will need to be revisited each subseq
<property name="SrcMenu_IP_HIERARCHY" value="2" type="GuiHandlerData"/>
<property name="SrcMenu_REFRESH_HIERARCHY" value="1" type="GuiHandlerData"/>
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="8" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_ADD_IP" value="1" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_ADD_MODULE" value="16" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_ADD_IP" value="2" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_ADD_MODULE" value="18" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_CREATE_PORT" value="6" type="GuiHandlerData"/>
<property name="SystemBuilderView_ADD_IP" value="1" type="GuiHandlerData"/>
<property name="SystemBuilderView_PINNING" value="8" type="GuiHandlerData"/>
<property name="SystemTreeView_SYSTEM_TREE" value="6" type="GuiHandlerData"/>
<property name="TaskBanner_CLOSE" value="15" type="GuiHandlerData"/>
......@@ -161,6 +163,11 @@ This means code written to parse this file will need to be revisited each subseq
<property name="WaveformView_NEXT_TRANSITION" value="865" type="GuiHandlerData"/>
<property name="WaveformView_PREVIOUS_TRANSITION" value="50" type="GuiHandlerData"/>
</item>
<item name="Other">
<property name="GuiMode" value="16" type="GuiMode"/>
<property name="BatchMode" value="0" type="BatchMode"/>
<property name="TclMode" value="11" type="TclMode"/>
</item>
</section>
</application>
</document>
//Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
//Date : Mon Sep 13 21:25:05 2021
//Date : Mon Sep 13 21:54:53 2021
//Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
//Command : generate_target risc_axi_v5_top_wrapper.bd
//Design : risc_axi_v5_top_wrapper
......
......@@ -40,7 +40,7 @@ if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
# The design that will be created by this Tcl script contains the following
# module references:
# hdl4se_uart_ctrl_axi, led_key, riscv_core_with_axi_master_xilinxwrap
# led_key, riscv_core_with_axi_master_xilinxwrap
# Please add the sources of those modules before sourcing this Tcl script.
......@@ -171,17 +171,12 @@ proc create_root_design { parentCell } {
set uart_tx [ create_bd_port -dir O -type data uart_tx ]
set wClk [ create_bd_port -dir I -type clk -freq_hz 50000000 wClk ]
# Create instance: hdl4se_uart_ctrl_axi_0, and set properties
set block_name hdl4se_uart_ctrl_axi
set block_cell_name hdl4se_uart_ctrl_axi_0
if { [catch {set hdl4se_uart_ctrl_axi_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
catch {common::send_gid_msg -ssname BD::TCL -id 2095 -severity "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
return 1
} elseif { $hdl4se_uart_ctrl_axi_0 eq "" } {
catch {common::send_gid_msg -ssname BD::TCL -id 2096 -severity "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
return 1
}
# Create instance: axi_uartlite_0, and set properties
set axi_uartlite_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_uartlite:2.0 axi_uartlite_0 ]
set_property -dict [ list \
CONFIG.C_BAUDRATE {115200} \
] $axi_uartlite_0
# Create instance: led_key_0, and set properties
set block_name led_key
set block_cell_name led_key_0
......@@ -214,21 +209,21 @@ proc create_root_design { parentCell } {
set rst_wClk_50M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_wClk_50M ]
# Create interface connections
connect_bd_intf_net -intf_net riscv_core_with_axi_0_axi_periph_M00_AXI [get_bd_intf_pins hdl4se_uart_ctrl_axi_0/s00_axi] [get_bd_intf_pins riscv_core_with_axi_0_axi_periph/M00_AXI]
connect_bd_intf_net -intf_net riscv_core_with_axi_0_axi_periph_M00_AXI [get_bd_intf_pins axi_uartlite_0/S_AXI] [get_bd_intf_pins riscv_core_with_axi_0_axi_periph/M00_AXI]
connect_bd_intf_net -intf_net riscv_core_with_axi_0_axi_periph_M01_AXI [get_bd_intf_pins led_key_0/s00_axi] [get_bd_intf_pins riscv_core_with_axi_0_axi_periph/M01_AXI]
connect_bd_intf_net -intf_net riscv_core_with_axi_0_m00_axi [get_bd_intf_pins riscv_core_with_axi_0/m00_axi] [get_bd_intf_pins riscv_core_with_axi_0_axi_periph/S00_AXI]
# Create port connections
connect_bd_net -net hdl4se_uart_ctrl_axi_0_uart_tx [get_bd_ports uart_tx] [get_bd_pins hdl4se_uart_ctrl_axi_0/uart_tx]
connect_bd_net -net axi_uartlite_0_tx [get_bd_ports uart_tx] [get_bd_pins axi_uartlite_0/tx]
connect_bd_net -net key_1 [get_bd_ports key] [get_bd_pins led_key_0/key]
connect_bd_net -net led_key_0_led [get_bd_ports led] [get_bd_pins led_key_0/led]
connect_bd_net -net nwReset_1 [get_bd_ports nwReset] [get_bd_pins rst_wClk_50M/ext_reset_in]
connect_bd_net -net rst_wClk_50M_peripheral_aresetn [get_bd_pins hdl4se_uart_ctrl_axi_0/s00_axi_aresetn] [get_bd_pins led_key_0/s00_axi_aresetn] [get_bd_pins riscv_core_with_axi_0/m00_axi_aresetn] [get_bd_pins riscv_core_with_axi_0_axi_periph/ARESETN] [get_bd_pins riscv_core_with_axi_0_axi_periph/M00_ARESETN] [get_bd_pins riscv_core_with_axi_0_axi_periph/M01_ARESETN] [get_bd_pins riscv_core_with_axi_0_axi_periph/S00_ARESETN] [get_bd_pins rst_wClk_50M/peripheral_aresetn]
connect_bd_net -net uart_rx_1 [get_bd_ports uart_rx] [get_bd_pins hdl4se_uart_ctrl_axi_0/uart_rx]
connect_bd_net -net wClk_1 [get_bd_ports wClk] [get_bd_pins hdl4se_uart_ctrl_axi_0/s00_axi_aclk] [get_bd_pins led_key_0/s00_axi_aclk] [get_bd_pins riscv_core_with_axi_0/m00_axi_aclk] [get_bd_pins riscv_core_with_axi_0_axi_periph/ACLK] [get_bd_pins riscv_core_with_axi_0_axi_periph/M00_ACLK] [get_bd_pins riscv_core_with_axi_0_axi_periph/M01_ACLK] [get_bd_pins riscv_core_with_axi_0_axi_periph/S00_ACLK] [get_bd_pins rst_wClk_50M/slowest_sync_clk]
connect_bd_net -net rst_wClk_50M_peripheral_aresetn [get_bd_pins axi_uartlite_0/s_axi_aresetn] [get_bd_pins led_key_0/s00_axi_aresetn] [get_bd_pins riscv_core_with_axi_0/m00_axi_aresetn] [get_bd_pins riscv_core_with_axi_0_axi_periph/ARESETN] [get_bd_pins riscv_core_with_axi_0_axi_periph/M00_ARESETN] [get_bd_pins riscv_core_with_axi_0_axi_periph/M01_ARESETN] [get_bd_pins riscv_core_with_axi_0_axi_periph/S00_ARESETN] [get_bd_pins rst_wClk_50M/peripheral_aresetn]
connect_bd_net -net uart_rx_1 [get_bd_ports uart_rx] [get_bd_pins axi_uartlite_0/rx]
connect_bd_net -net wClk_1 [get_bd_ports wClk] [get_bd_pins axi_uartlite_0/s_axi_aclk] [get_bd_pins led_key_0/s00_axi_aclk] [get_bd_pins riscv_core_with_axi_0/m00_axi_aclk] [get_bd_pins riscv_core_with_axi_0_axi_periph/ACLK] [get_bd_pins riscv_core_with_axi_0_axi_periph/M00_ACLK] [get_bd_pins riscv_core_with_axi_0_axi_periph/M01_ACLK] [get_bd_pins riscv_core_with_axi_0_axi_periph/S00_ACLK] [get_bd_pins rst_wClk_50M/slowest_sync_clk]
# Create address segments
assign_bd_address -offset 0xF0000100 -range 0x00000080 -target_address_space [get_bd_addr_spaces riscv_core_with_axi_0/m00_axi] [get_bd_addr_segs hdl4se_uart_ctrl_axi_0/s00_axi/reg0] -force
assign_bd_address -offset 0xF0000100 -range 0x00000080 -target_address_space [get_bd_addr_spaces riscv_core_with_axi_0/m00_axi] [get_bd_addr_segs axi_uartlite_0/S_AXI/Reg] -force
assign_bd_address -offset 0xF0000000 -range 0x00000080 -target_address_space [get_bd_addr_spaces riscv_core_with_axi_0/m00_axi] [get_bd_addr_segs led_key_0/s00_axi/reg0] -force
......
......@@ -27362,7 +27362,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:03 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:48:32 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......@@ -27417,7 +27417,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:04 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:48:32 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......@@ -27445,7 +27445,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:04 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:48:32 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......@@ -27465,7 +27465,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:04 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:48:33 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......@@ -2,10 +2,10 @@
<Root MajorVersion="0" MinorVersion="39">
<CompositeFile CompositeFileTopName="risc_axi_v5_top" CanBeSetAsTop="false" CanDisplayChildGraph="true">
<Description>Composite Fileset</Description>
<Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1631539505"/>
<Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1631539505"/>
<Generation Name="SIMULATION" State="GENERATED" Timestamp="1631539505"/>
<Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1631539505"/>
<Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1631541294"/>
<Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1631541294"/>
<Generation Name="SIMULATION" State="GENERATED" Timestamp="1631541294"/>
<Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1631541294"/>
<FileCollection Name="SOURCES" Type="SOURCES">
<File Name="synth\risc_axi_v5_top.v" Type="Verilog">
<Properties IsEditable="false" IsVisible="true" IsNetlistSimulation="false" Timestamp="0" IsTrackable="false" IsStatusTracked="false"/>
......
//Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
//Date : Mon Sep 13 21:25:05 2021
//Date : Mon Sep 13 21:54:53 2021
//Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
//Command : generate_target risc_axi_v5_top.bd
//Design : risc_axi_v5_top
......@@ -13,11 +13,9 @@ module m00_couplers_imp_DIBHKD
(M_ACLK,
M_ARESETN,
M_AXI_araddr,
M_AXI_arprot,
M_AXI_arready,
M_AXI_arvalid,
M_AXI_awaddr,
M_AXI_awprot,
M_AXI_awready,
M_AXI_awvalid,
M_AXI_bready,
......@@ -34,11 +32,9 @@ module m00_couplers_imp_DIBHKD
S_ACLK,
S_ARESETN,
S_AXI_araddr,
S_AXI_arprot,
S_AXI_arready,
S_AXI_arvalid,
S_AXI_awaddr,
S_AXI_awprot,
S_AXI_awready,
S_AXI_awvalid,
S_AXI_bready,
......@@ -55,11 +51,9 @@ module m00_couplers_imp_DIBHKD
input M_ACLK;
input M_ARESETN;
output [31:0]M_AXI_araddr;
output [2:0]M_AXI_arprot;
input M_AXI_arready;
output M_AXI_arvalid;
output [31:0]M_AXI_awaddr;
output [2:0]M_AXI_awprot;
input M_AXI_awready;
output M_AXI_awvalid;
output M_AXI_bready;
......@@ -76,11 +70,9 @@ module m00_couplers_imp_DIBHKD
input S_ACLK;
input S_ARESETN;
input [31:0]S_AXI_araddr;
input [2:0]S_AXI_arprot;
output S_AXI_arready;
input S_AXI_arvalid;
input [31:0]S_AXI_awaddr;
input [2:0]S_AXI_awprot;
output S_AXI_awready;
input S_AXI_awvalid;
input S_AXI_bready;
......@@ -96,11 +88,9 @@ module m00_couplers_imp_DIBHKD
input S_AXI_wvalid;
wire [31:0]m00_couplers_to_m00_couplers_ARADDR;
wire [2:0]m00_couplers_to_m00_couplers_ARPROT;
wire m00_couplers_to_m00_couplers_ARREADY;
wire m00_couplers_to_m00_couplers_ARVALID;
wire [31:0]m00_couplers_to_m00_couplers_AWADDR;
wire [2:0]m00_couplers_to_m00_couplers_AWPROT;
wire m00_couplers_to_m00_couplers_AWREADY;
wire m00_couplers_to_m00_couplers_AWVALID;
wire m00_couplers_to_m00_couplers_BREADY;
......@@ -116,10 +106,8 @@ module m00_couplers_imp_DIBHKD
wire m00_couplers_to_m00_couplers_WVALID;
assign M_AXI_araddr[31:0] = m00_couplers_to_m00_couplers_ARADDR;
assign M_AXI_arprot[2:0] = m00_couplers_to_m00_couplers_ARPROT;
assign M_AXI_arvalid = m00_couplers_to_m00_couplers_ARVALID;
assign M_AXI_awaddr[31:0] = m00_couplers_to_m00_couplers_AWADDR;
assign M_AXI_awprot[2:0] = m00_couplers_to_m00_couplers_AWPROT;
assign M_AXI_awvalid = m00_couplers_to_m00_couplers_AWVALID;
assign M_AXI_bready = m00_couplers_to_m00_couplers_BREADY;
assign M_AXI_rready = m00_couplers_to_m00_couplers_RREADY;
......@@ -135,11 +123,9 @@ module m00_couplers_imp_DIBHKD
assign S_AXI_rvalid = m00_couplers_to_m00_couplers_RVALID;
assign S_AXI_wready = m00_couplers_to_m00_couplers_WREADY;
assign m00_couplers_to_m00_couplers_ARADDR = S_AXI_araddr[31:0];
assign m00_couplers_to_m00_couplers_ARPROT = S_AXI_arprot[2:0];
assign m00_couplers_to_m00_couplers_ARREADY = M_AXI_arready;
assign m00_couplers_to_m00_couplers_ARVALID = S_AXI_arvalid;
assign m00_couplers_to_m00_couplers_AWADDR = S_AXI_awaddr[31:0];
assign m00_couplers_to_m00_couplers_AWPROT = S_AXI_awprot[2:0];
assign m00_couplers_to_m00_couplers_AWREADY = M_AXI_awready;
assign m00_couplers_to_m00_couplers_AWVALID = S_AXI_awvalid;
assign m00_couplers_to_m00_couplers_BREADY = S_AXI_bready;
......@@ -301,7 +287,7 @@ module m01_couplers_imp_15DQFTV
assign m01_couplers_to_m01_couplers_WVALID = S_AXI_wvalid;
endmodule
(* CORE_GENERATION_INFO = "risc_axi_v5_top,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=risc_axi_v5_top,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=9,numReposBlks=5,numNonXlnxBlks=0,numHierBlks=4,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=3,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=4,da_board_cnt=1,synth_mode=Global}" *) (* HW_HANDOFF = "risc_axi_v5_top.hwdef" *)
(* CORE_GENERATION_INFO = "risc_axi_v5_top,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=risc_axi_v5_top,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=9,numReposBlks=5,numNonXlnxBlks=0,numHierBlks=4,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=2,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=7,da_board_cnt=1,synth_mode=Global}" *) (* HW_HANDOFF = "risc_axi_v5_top.hwdef" *)
module risc_axi_v5_top
(key,
led,
......@@ -316,16 +302,14 @@ module risc_axi_v5_top
(* X_INTERFACE_INFO = "xilinx.com:signal:data:1.0 DATA.UART_TX DATA" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME DATA.UART_TX, LAYERED_METADATA undef" *) output uart_tx;
(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.WCLK CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.WCLK, CLK_DOMAIN risc_axi_v5_top_wClk, FREQ_HZ 50000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input wClk;
wire hdl4se_uart_ctrl_axi_0_uart_tx;
wire axi_uartlite_0_tx;
wire [2:0]key_1;
wire [3:0]led_key_0_led;
wire nwReset_1;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY;
......@@ -385,32 +369,30 @@ module risc_axi_v5_top
assign led[3:0] = led_key_0_led;
assign nwReset_1 = nwReset;
assign uart_rx_1 = uart_rx;
assign uart_tx = hdl4se_uart_ctrl_axi_0_uart_tx;
assign uart_tx = axi_uartlite_0_tx;
assign wClk_1 = wClk;
risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0 hdl4se_uart_ctrl_axi_0
(.s00_axi_aclk(wClk_1),
.s00_axi_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR[3:0]),
.s00_axi_aresetn(rst_wClk_50M_peripheral_aresetn),
.s00_axi_arprot(riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT),
.s00_axi_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
.s00_axi_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
.s00_axi_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR[3:0]),
.s00_axi_awprot(riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT),
.s00_axi_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
.s00_axi_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
.s00_axi_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
.s00_axi_bresp(riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP),
.s00_axi_bvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID),
.s00_axi_rdata(riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA),
.s00_axi_rready(riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY),
.s00_axi_rresp(riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP),
.s00_axi_rvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID),
.s00_axi_wdata(riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA),
.s00_axi_wready(riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY),
.s00_axi_wstrb(riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB),
.s00_axi_wvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID),
.uart_rx(uart_rx_1),
.uart_tx(hdl4se_uart_ctrl_axi_0_uart_tx));
risc_axi_v5_top_axi_uartlite_0_0 axi_uartlite_0
(.rx(uart_rx_1),
.s_axi_aclk(wClk_1),
.s_axi_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR[3:0]),
.s_axi_aresetn(rst_wClk_50M_peripheral_aresetn),
.s_axi_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
.s_axi_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
.s_axi_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR[3:0]),
.s_axi_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
.s_axi_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
.s_axi_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
.s_axi_bresp(riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP),
.s_axi_bvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID),
.s_axi_rdata(riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA),
.s_axi_rready(riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY),
.s_axi_rresp(riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP),
.s_axi_rvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID),
.s_axi_wdata(riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA),
.s_axi_wready(riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY),
.s_axi_wstrb(riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB),
.s_axi_wvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID),
.tx(axi_uartlite_0_tx));
risc_axi_v5_top_led_key_0_0 led_key_0
(.key(key_1),
.led(led_key_0_led),
......@@ -463,11 +445,9 @@ module risc_axi_v5_top
.M00_ACLK(wClk_1),
.M00_ARESETN(rst_wClk_50M_peripheral_aresetn),
.M00_AXI_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR),
.M00_AXI_arprot(riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT),
.M00_AXI_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
.M00_AXI_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
.M00_AXI_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR),
.M00_AXI_awprot(riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT),
.M00_AXI_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
.M00_AXI_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
.M00_AXI_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
......@@ -538,11 +518,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
M00_ACLK,
M00_ARESETN,
M00_AXI_araddr,
M00_AXI_arprot,
M00_AXI_arready,
M00_AXI_arvalid,
M00_AXI_awaddr,
M00_AXI_awprot,
M00_AXI_awready,
M00_AXI_awvalid,
M00_AXI_bready,
......@@ -603,11 +581,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
input M00_ACLK;
input M00_ARESETN;
output [31:0]M00_AXI_araddr;
output [2:0]M00_AXI_arprot;
input M00_AXI_arready;
output M00_AXI_arvalid;
output [31:0]M00_AXI_awaddr;
output [2:0]M00_AXI_awprot;
input M00_AXI_awready;
output M00_AXI_awvalid;
output M00_AXI_bready;
......@@ -665,11 +641,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
input S00_AXI_wvalid;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
......@@ -743,11 +717,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
wire [3:0]s00_couplers_to_xbar_WSTRB;
wire s00_couplers_to_xbar_WVALID;
wire [31:0]xbar_to_m00_couplers_ARADDR;
wire [2:0]xbar_to_m00_couplers_ARPROT;
wire xbar_to_m00_couplers_ARREADY;
wire [0:0]xbar_to_m00_couplers_ARVALID;
wire [31:0]xbar_to_m00_couplers_AWADDR;
wire [2:0]xbar_to_m00_couplers_AWPROT;
wire xbar_to_m00_couplers_AWREADY;
wire [0:0]xbar_to_m00_couplers_AWVALID;
wire [0:0]xbar_to_m00_couplers_BREADY;
......@@ -780,12 +752,12 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
wire xbar_to_m01_couplers_WREADY;
wire [7:4]xbar_to_m01_couplers_WSTRB;
wire [1:1]xbar_to_m01_couplers_WVALID;
wire [5:0]NLW_xbar_m_axi_arprot_UNCONNECTED;
wire [5:0]NLW_xbar_m_axi_awprot_UNCONNECTED;
assign M00_AXI_araddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
assign M00_AXI_arprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
assign M00_AXI_arvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
assign M00_AXI_awaddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
assign M00_AXI_awprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
assign M00_AXI_awvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
assign M00_AXI_bready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
assign M00_AXI_rready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
......@@ -844,11 +816,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
(.M_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
.M_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
.M_AXI_araddr(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR),
.M_AXI_arprot(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT),
.M_AXI_arready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY),
.M_AXI_arvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID),
.M_AXI_awaddr(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR),
.M_AXI_awprot(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT),
.M_AXI_awready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY),
.M_AXI_awvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID),
.M_AXI_bready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY),
......@@ -865,11 +835,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
.S_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
.S_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
.S_AXI_araddr(xbar_to_m00_couplers_ARADDR),
.S_AXI_arprot(xbar_to_m00_couplers_ARPROT),
.S_AXI_arready(xbar_to_m00_couplers_ARREADY),
.S_AXI_arvalid(xbar_to_m00_couplers_ARVALID),
.S_AXI_awaddr(xbar_to_m00_couplers_AWADDR),
.S_AXI_awprot(xbar_to_m00_couplers_AWPROT),
.S_AXI_awready(xbar_to_m00_couplers_AWREADY),
.S_AXI_awvalid(xbar_to_m00_couplers_AWVALID),
.S_AXI_bready(xbar_to_m00_couplers_BREADY),
......@@ -973,11 +941,11 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
(.aclk(riscv_core_with_axi_0_axi_periph_ACLK_net),
.aresetn(riscv_core_with_axi_0_axi_periph_ARESETN_net),
.m_axi_araddr({xbar_to_m01_couplers_ARADDR,xbar_to_m00_couplers_ARADDR}),
.m_axi_arprot({xbar_to_m01_couplers_ARPROT,xbar_to_m00_couplers_ARPROT}),
.m_axi_arprot({xbar_to_m01_couplers_ARPROT,NLW_xbar_m_axi_arprot_UNCONNECTED[2:0]}),
.m_axi_arready({xbar_to_m01_couplers_ARREADY,xbar_to_m00_couplers_ARREADY}),
.m_axi_arvalid({xbar_to_m01_couplers_ARVALID,xbar_to_m00_couplers_ARVALID}),
.m_axi_awaddr({xbar_to_m01_couplers_AWADDR,xbar_to_m00_couplers_AWADDR}),
.m_axi_awprot({xbar_to_m01_couplers_AWPROT,xbar_to_m00_couplers_AWPROT}),
.m_axi_awprot({xbar_to_m01_couplers_AWPROT,NLW_xbar_m_axi_awprot_UNCONNECTED[2:0]}),
.m_axi_awready({xbar_to_m01_couplers_AWREADY,xbar_to_m00_couplers_AWREADY}),
.m_axi_awvalid({xbar_to_m01_couplers_AWVALID,xbar_to_m00_couplers_AWVALID}),
.m_axi_bready({xbar_to_m01_couplers_BREADY,xbar_to_m00_couplers_BREADY}),
......
//Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
//Date : Mon Sep 13 21:25:05 2021
//Date : Mon Sep 13 21:54:53 2021
//Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
//Command : generate_target risc_axi_v5_top.bd
//Design : risc_axi_v5_top
......@@ -13,11 +13,9 @@ module m00_couplers_imp_DIBHKD
(M_ACLK,
M_ARESETN,
M_AXI_araddr,
M_AXI_arprot,
M_AXI_arready,
M_AXI_arvalid,
M_AXI_awaddr,
M_AXI_awprot,
M_AXI_awready,
M_AXI_awvalid,
M_AXI_bready,
......@@ -34,11 +32,9 @@ module m00_couplers_imp_DIBHKD
S_ACLK,
S_ARESETN,
S_AXI_araddr,
S_AXI_arprot,
S_AXI_arready,
S_AXI_arvalid,
S_AXI_awaddr,
S_AXI_awprot,
S_AXI_awready,
S_AXI_awvalid,
S_AXI_bready,
......@@ -55,11 +51,9 @@ module m00_couplers_imp_DIBHKD
input M_ACLK;
input M_ARESETN;
output [31:0]M_AXI_araddr;
output [2:0]M_AXI_arprot;
input M_AXI_arready;
output M_AXI_arvalid;
output [31:0]M_AXI_awaddr;
output [2:0]M_AXI_awprot;
input M_AXI_awready;
output M_AXI_awvalid;
output M_AXI_bready;
......@@ -76,11 +70,9 @@ module m00_couplers_imp_DIBHKD
input S_ACLK;
input S_ARESETN;
input [31:0]S_AXI_araddr;
input [2:0]S_AXI_arprot;
output S_AXI_arready;
input S_AXI_arvalid;
input [31:0]S_AXI_awaddr;
input [2:0]S_AXI_awprot;
output S_AXI_awready;
input S_AXI_awvalid;
input S_AXI_bready;
......@@ -96,11 +88,9 @@ module m00_couplers_imp_DIBHKD
input S_AXI_wvalid;
wire [31:0]m00_couplers_to_m00_couplers_ARADDR;
wire [2:0]m00_couplers_to_m00_couplers_ARPROT;
wire m00_couplers_to_m00_couplers_ARREADY;
wire m00_couplers_to_m00_couplers_ARVALID;
wire [31:0]m00_couplers_to_m00_couplers_AWADDR;
wire [2:0]m00_couplers_to_m00_couplers_AWPROT;
wire m00_couplers_to_m00_couplers_AWREADY;
wire m00_couplers_to_m00_couplers_AWVALID;
wire m00_couplers_to_m00_couplers_BREADY;
......@@ -116,10 +106,8 @@ module m00_couplers_imp_DIBHKD
wire m00_couplers_to_m00_couplers_WVALID;
assign M_AXI_araddr[31:0] = m00_couplers_to_m00_couplers_ARADDR;
assign M_AXI_arprot[2:0] = m00_couplers_to_m00_couplers_ARPROT;
assign M_AXI_arvalid = m00_couplers_to_m00_couplers_ARVALID;
assign M_AXI_awaddr[31:0] = m00_couplers_to_m00_couplers_AWADDR;
assign M_AXI_awprot[2:0] = m00_couplers_to_m00_couplers_AWPROT;
assign M_AXI_awvalid = m00_couplers_to_m00_couplers_AWVALID;
assign M_AXI_bready = m00_couplers_to_m00_couplers_BREADY;
assign M_AXI_rready = m00_couplers_to_m00_couplers_RREADY;
......@@ -135,11 +123,9 @@ module m00_couplers_imp_DIBHKD
assign S_AXI_rvalid = m00_couplers_to_m00_couplers_RVALID;
assign S_AXI_wready = m00_couplers_to_m00_couplers_WREADY;
assign m00_couplers_to_m00_couplers_ARADDR = S_AXI_araddr[31:0];
assign m00_couplers_to_m00_couplers_ARPROT = S_AXI_arprot[2:0];
assign m00_couplers_to_m00_couplers_ARREADY = M_AXI_arready;
assign m00_couplers_to_m00_couplers_ARVALID = S_AXI_arvalid;
assign m00_couplers_to_m00_couplers_AWADDR = S_AXI_awaddr[31:0];
assign m00_couplers_to_m00_couplers_AWPROT = S_AXI_awprot[2:0];
assign m00_couplers_to_m00_couplers_AWREADY = M_AXI_awready;
assign m00_couplers_to_m00_couplers_AWVALID = S_AXI_awvalid;
assign m00_couplers_to_m00_couplers_BREADY = S_AXI_bready;
......@@ -301,7 +287,7 @@ module m01_couplers_imp_15DQFTV
assign m01_couplers_to_m01_couplers_WVALID = S_AXI_wvalid;
endmodule
(* CORE_GENERATION_INFO = "risc_axi_v5_top,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=risc_axi_v5_top,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=9,numReposBlks=5,numNonXlnxBlks=0,numHierBlks=4,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=3,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=4,da_board_cnt=1,synth_mode=Global}" *) (* HW_HANDOFF = "risc_axi_v5_top.hwdef" *)
(* CORE_GENERATION_INFO = "risc_axi_v5_top,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=risc_axi_v5_top,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=9,numReposBlks=5,numNonXlnxBlks=0,numHierBlks=4,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=2,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=7,da_board_cnt=1,synth_mode=Global}" *) (* HW_HANDOFF = "risc_axi_v5_top.hwdef" *)
module risc_axi_v5_top
(key,
led,
......@@ -316,16 +302,14 @@ module risc_axi_v5_top
(* X_INTERFACE_INFO = "xilinx.com:signal:data:1.0 DATA.UART_TX DATA" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME DATA.UART_TX, LAYERED_METADATA undef" *) output uart_tx;
(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.WCLK CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.WCLK, CLK_DOMAIN risc_axi_v5_top_wClk, FREQ_HZ 50000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input wClk;
wire hdl4se_uart_ctrl_axi_0_uart_tx;
wire axi_uartlite_0_tx;
wire [2:0]key_1;
wire [3:0]led_key_0_led;
wire nwReset_1;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY;
......@@ -385,32 +369,30 @@ module risc_axi_v5_top
assign led[3:0] = led_key_0_led;
assign nwReset_1 = nwReset;
assign uart_rx_1 = uart_rx;
assign uart_tx = hdl4se_uart_ctrl_axi_0_uart_tx;
assign uart_tx = axi_uartlite_0_tx;
assign wClk_1 = wClk;
risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0 hdl4se_uart_ctrl_axi_0
(.s00_axi_aclk(wClk_1),
.s00_axi_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR[3:0]),
.s00_axi_aresetn(rst_wClk_50M_peripheral_aresetn),
.s00_axi_arprot(riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT),
.s00_axi_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
.s00_axi_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
.s00_axi_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR[3:0]),
.s00_axi_awprot(riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT),
.s00_axi_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
.s00_axi_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
.s00_axi_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
.s00_axi_bresp(riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP),
.s00_axi_bvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID),
.s00_axi_rdata(riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA),
.s00_axi_rready(riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY),
.s00_axi_rresp(riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP),
.s00_axi_rvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID),
.s00_axi_wdata(riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA),
.s00_axi_wready(riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY),
.s00_axi_wstrb(riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB),
.s00_axi_wvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID),
.uart_rx(uart_rx_1),
.uart_tx(hdl4se_uart_ctrl_axi_0_uart_tx));
risc_axi_v5_top_axi_uartlite_0_0 axi_uartlite_0
(.rx(uart_rx_1),
.s_axi_aclk(wClk_1),
.s_axi_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR[3:0]),
.s_axi_aresetn(rst_wClk_50M_peripheral_aresetn),
.s_axi_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
.s_axi_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
.s_axi_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR[3:0]),
.s_axi_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
.s_axi_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
.s_axi_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
.s_axi_bresp(riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP),
.s_axi_bvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID),
.s_axi_rdata(riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA),
.s_axi_rready(riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY),
.s_axi_rresp(riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP),
.s_axi_rvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID),
.s_axi_wdata(riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA),
.s_axi_wready(riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY),
.s_axi_wstrb(riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB),
.s_axi_wvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID),
.tx(axi_uartlite_0_tx));
risc_axi_v5_top_led_key_0_0 led_key_0
(.key(key_1),
.led(led_key_0_led),
......@@ -463,11 +445,9 @@ module risc_axi_v5_top
.M00_ACLK(wClk_1),
.M00_ARESETN(rst_wClk_50M_peripheral_aresetn),
.M00_AXI_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR),
.M00_AXI_arprot(riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT),
.M00_AXI_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
.M00_AXI_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
.M00_AXI_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR),
.M00_AXI_awprot(riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT),
.M00_AXI_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
.M00_AXI_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
.M00_AXI_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
......@@ -538,11 +518,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
M00_ACLK,
M00_ARESETN,
M00_AXI_araddr,
M00_AXI_arprot,
M00_AXI_arready,
M00_AXI_arvalid,
M00_AXI_awaddr,
M00_AXI_awprot,
M00_AXI_awready,
M00_AXI_awvalid,
M00_AXI_bready,
......@@ -603,11 +581,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
input M00_ACLK;
input M00_ARESETN;
output [31:0]M00_AXI_araddr;
output [2:0]M00_AXI_arprot;
input M00_AXI_arready;
output M00_AXI_arvalid;
output [31:0]M00_AXI_awaddr;
output [2:0]M00_AXI_awprot;
input M00_AXI_awready;
output M00_AXI_awvalid;
output M00_AXI_bready;
......@@ -665,11 +641,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
input S00_AXI_wvalid;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
......@@ -743,11 +717,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
wire [3:0]s00_couplers_to_xbar_WSTRB;
wire s00_couplers_to_xbar_WVALID;
wire [31:0]xbar_to_m00_couplers_ARADDR;
wire [2:0]xbar_to_m00_couplers_ARPROT;
wire xbar_to_m00_couplers_ARREADY;
wire [0:0]xbar_to_m00_couplers_ARVALID;
wire [31:0]xbar_to_m00_couplers_AWADDR;
wire [2:0]xbar_to_m00_couplers_AWPROT;
wire xbar_to_m00_couplers_AWREADY;
wire [0:0]xbar_to_m00_couplers_AWVALID;
wire [0:0]xbar_to_m00_couplers_BREADY;
......@@ -780,12 +752,12 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
wire xbar_to_m01_couplers_WREADY;
wire [7:4]xbar_to_m01_couplers_WSTRB;
wire [1:1]xbar_to_m01_couplers_WVALID;
wire [5:0]NLW_xbar_m_axi_arprot_UNCONNECTED;
wire [5:0]NLW_xbar_m_axi_awprot_UNCONNECTED;
assign M00_AXI_araddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
assign M00_AXI_arprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
assign M00_AXI_arvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
assign M00_AXI_awaddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
assign M00_AXI_awprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
assign M00_AXI_awvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
assign M00_AXI_bready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
assign M00_AXI_rready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
......@@ -844,11 +816,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
(.M_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
.M_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
.M_AXI_araddr(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR),
.M_AXI_arprot(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT),
.M_AXI_arready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY),
.M_AXI_arvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID),
.M_AXI_awaddr(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR),
.M_AXI_awprot(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT),
.M_AXI_awready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY),
.M_AXI_awvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID),
.M_AXI_bready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY),
......@@ -865,11 +835,9 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
.S_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
.S_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
.S_AXI_araddr(xbar_to_m00_couplers_ARADDR),
.S_AXI_arprot(xbar_to_m00_couplers_ARPROT),
.S_AXI_arready(xbar_to_m00_couplers_ARREADY),
.S_AXI_arvalid(xbar_to_m00_couplers_ARVALID),
.S_AXI_awaddr(xbar_to_m00_couplers_AWADDR),
.S_AXI_awprot(xbar_to_m00_couplers_AWPROT),
.S_AXI_awready(xbar_to_m00_couplers_AWREADY),
.S_AXI_awvalid(xbar_to_m00_couplers_AWVALID),
.S_AXI_bready(xbar_to_m00_couplers_BREADY),
......@@ -973,11 +941,11 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
(.aclk(riscv_core_with_axi_0_axi_periph_ACLK_net),
.aresetn(riscv_core_with_axi_0_axi_periph_ARESETN_net),
.m_axi_araddr({xbar_to_m01_couplers_ARADDR,xbar_to_m00_couplers_ARADDR}),
.m_axi_arprot({xbar_to_m01_couplers_ARPROT,xbar_to_m00_couplers_ARPROT}),
.m_axi_arprot({xbar_to_m01_couplers_ARPROT,NLW_xbar_m_axi_arprot_UNCONNECTED[2:0]}),
.m_axi_arready({xbar_to_m01_couplers_ARREADY,xbar_to_m00_couplers_ARREADY}),
.m_axi_arvalid({xbar_to_m01_couplers_ARVALID,xbar_to_m00_couplers_ARVALID}),
.m_axi_awaddr({xbar_to_m01_couplers_AWADDR,xbar_to_m00_couplers_AWADDR}),
.m_axi_awprot({xbar_to_m01_couplers_AWPROT,xbar_to_m00_couplers_AWPROT}),
.m_axi_awprot({xbar_to_m01_couplers_AWPROT,NLW_xbar_m_axi_awprot_UNCONNECTED[2:0]}),
.m_axi_awready({xbar_to_m01_couplers_AWREADY,xbar_to_m00_couplers_AWREADY}),
.m_axi_awvalid({xbar_to_m01_couplers_AWVALID,xbar_to_m00_couplers_AWVALID}),
.m_axi_bready({xbar_to_m01_couplers_BREADY,xbar_to_m00_couplers_BREADY}),
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101110100001111010011110000011
11101000100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11101010000001111000010100010011
11100100000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101110100001111010011110000011
11101000100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11101010010001111000010100010011
11100100010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11101010100001111000010100010011
11100100100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11101010110001111000010100010011
11100100110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11101011000001111000010100010011
11100101000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11101011010001111000010100010011
11100101010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11101011100001111000010100010011
11100101100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101110111001111010010000100011
11101000111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11101011110001111000010100010011
11100101110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,39 +687,27 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
11011001000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101010010011111111000011101111
11101101010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11101100000001111000010100010011
11100010110011111111000011101111
11100110000001111000010100010011
11100101110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100010000011111111000011101111
11100101000011111111000011101111
00000000000000000001011110110111
11101011100001111000010100010011
11100001010011111111000011101111
11100101100001111000010100010011
11100100010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00001010111101110001011001100011
00000101010000000000000001101111
00000110111101110001111001100011
00000010010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -727,24 +715,12 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111001000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100000110111111111000011101111
10100110110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -759,7 +735,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11100100010111111111000011101111
11101010010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -768,14 +744,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10101010100111111111000011101111
10110000100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101110111001111010010000100011
10111100010111111111000011101111
11101000111001111010010000100011
11000010010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -785,19 +761,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100110010111111111000011101111
10101100010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100100110111111111000011101111
10101010110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100011010111111111000011101111
10101001010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -823,7 +799,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11010100010111111111000011101111
11011010010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -834,13 +810,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011010000111111111000011101111
10100000000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011000100111111111000011101111
10011110100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -849,8 +825,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11101100010001111000010100010011
10111111100011111111000011101111
11100110010001111000010100010011
11000101100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -859,8 +835,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11101100110001111000010100010011
10111101000011111111000011101111
11100110110001111000010100010011
11000011000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -869,10 +845,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11101101010001111000010100010011
10111010100011111111000011101111
11100111010001111000010100010011
11000000100011111111000011101111
00000000100000000000000001101111
11001000000111111111000011101111
11001110000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -892,23 +868,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100001010011111111000011101111
11100111010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110100010011111111000011101111
10111010010011111111000011101111
00000000000000000001011110110111
11101101110001111000010100010011
10110011100011111111000011101111
11100111110001111000010100010011
10111001100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11000110100011111111000011101111
11001100100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110001110011111111000011101111
10110111110011111111000011101111
00000000000000000001011110110111
11101110000001111000010100010011
10110001000011111111000011101111
11101000000001111000010100010011
10110111000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -920,20 +896,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11011010010011111111000011101111
11100000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10101101010011111111000011101111
10110011010011111111000011101111
00000000000000000001011110110111
11101110010001111000010100010011
10101100100011111111000011101111
11101000010001111000010100010011
10110010100011111111000011101111
00000000100000000000000001101111
10111010000111111111000011101111
11000000000111111111000011101111
00000000000000000000000000010011
10010111110011111111000011101111
10011101110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
10111111010111111111000001101111
11000101010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -1022,3 +998,27 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -1466,11 +1466,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 12:38:12 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:59:06 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:9d6c7792</spirit:value>
<spirit:value>9:f4beb12c</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1486,11 +1486,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:59:06 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:9d6c7792</spirit:value>
<spirit:value>9:f4beb12c</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1501,7 +1501,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:9d6c7792</spirit:value>
<spirit:value>9:f4beb12c</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1517,11 +1517,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:59:06 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:9d6c7792</spirit:value>
<spirit:value>9:f4beb12c</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1536,11 +1536,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:59:06 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:feaf7ed6</spirit:value>
<spirit:value>9:878d1d9a</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1556,11 +1556,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:59:06 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:feaf7ed6</spirit:value>
<spirit:value>9:878d1d9a</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1574,11 +1574,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:59:06 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:9d6c7792</spirit:value>
<spirit:value>9:f4beb12c</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1592,11 +1592,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:59:06 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:9d6c7792</spirit:value>
<spirit:value>9:f4beb12c</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......
-- (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_uartlite:2.0
-- IP Revision: 28
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_uartlite_v2_0_28;
USE axi_uartlite_v2_0_28.axi_uartlite;
ENTITY risc_axi_v5_top_axi_uartlite_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
rx : IN STD_LOGIC;
tx : OUT STD_LOGIC
);
END risc_axi_v5_top_axi_uartlite_0_0;
ARCHITECTURE risc_axi_v5_top_axi_uartlite_0_0_arch OF risc_axi_v5_top_axi_uartlite_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF risc_axi_v5_top_axi_uartlite_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_uartlite IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ACLK_FREQ_HZ : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_BAUDRATE : INTEGER;
C_DATA_BITS : INTEGER;
C_USE_PARITY : INTEGER;
C_ODD_PARITY : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
rx : IN STD_LOGIC;
tx : OUT STD_LOGIC
);
END COMPONENT axi_uartlite;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_INFO OF tx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART TxD";
ATTRIBUTE X_INTERFACE_PARAMETER OF rx: SIGNAL IS "XIL_INTERFACENAME UART, BOARD.ASSOCIATED_PARAM UARTLITE_BOARD_INTERFACE";
ATTRIBUTE X_INTERFACE_INFO OF rx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART RxD";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 50000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.0, CLK_DOMAIN risc_axi_v5_top_wClk, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1," &
" RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0, INSERT_VIP 0";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_PARAMETER OF interrupt: SIGNAL IS "XIL_INTERFACENAME INTERRUPT, SENSITIVITY EDGE_RISING, PortWidth 1";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME ARESETN, POLARITY ACTIVE_LOW, INSERT_VIP 0";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 ARESETN RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aclk: SIGNAL IS "XIL_INTERFACENAME ACLK, ASSOCIATED_BUSIF S_AXI, ASSOCIATED_RESET s_axi_aresetn, FREQ_HZ 50000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN risc_axi_v5_top_wClk, INSERT_VIP 0";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 ACLK CLK";
BEGIN
U0 : axi_uartlite
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ACLK_FREQ_HZ => 50000000,
C_S_AXI_ADDR_WIDTH => 4,
C_S_AXI_DATA_WIDTH => 32,
C_BAUDRATE => 115200,
C_DATA_BITS => 8,
C_USE_PARITY => 0,
C_ODD_PARITY => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
interrupt => interrupt,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
rx => rx,
tx => tx
);
END risc_axi_v5_top_axi_uartlite_0_0_arch;
// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
// DO NOT MODIFY THIS FILE.
// IP VLNV: xilinx.com:module_ref:hdl4se_uart_ctrl_axi:1.0
// IP Revision: 1
`timescale 1ns/1ps
(* IP_DEFINITION_SOURCE = "module_ref" *)
(* DowngradeIPIdentifiedWarnings = "yes" *)
module risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0 (
s00_axi_aclk,
s00_axi_aresetn,
s00_axi_awaddr,
s00_axi_awprot,
s00_axi_awvalid,
s00_axi_awready,
s00_axi_wdata,
s00_axi_wstrb,
s00_axi_wvalid,
s00_axi_wready,
s00_axi_bresp,
s00_axi_bvalid,
s00_axi_bready,
s00_axi_araddr,
s00_axi_arprot,
s00_axi_arvalid,
s00_axi_arready,
s00_axi_rdata,
s00_axi_rresp,
s00_axi_rvalid,
s00_axi_rready,
uart_tx,
uart_rx,
dataready,
sendready,
sendfull,
recvempty
);
(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME s00_axi_aclk, ASSOCIATED_BUSIF s00_axi, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 50000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN risc_axi_v5_top_wClk, INSERT_VIP 0" *)
(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK" *)
input wire s00_axi_aclk;
(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME s00_axi_aresetn, POLARITY ACTIVE_LOW, INSERT_VIP 0" *)
(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST" *)
input wire s00_axi_aresetn;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi AWADDR" *)
input wire [3 : 0] s00_axi_awaddr;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi AWPROT" *)
input wire [2 : 0] s00_axi_awprot;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi AWVALID" *)
input wire s00_axi_awvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi AWREADY" *)
output wire s00_axi_awready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi WDATA" *)
input wire [31 : 0] s00_axi_wdata;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi WSTRB" *)
input wire [3 : 0] s00_axi_wstrb;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi WVALID" *)
input wire s00_axi_wvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi WREADY" *)
output wire s00_axi_wready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi BRESP" *)
output wire [1 : 0] s00_axi_bresp;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi BVALID" *)
output wire s00_axi_bvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi BREADY" *)
input wire s00_axi_bready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi ARADDR" *)
input wire [3 : 0] s00_axi_araddr;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi ARPROT" *)
input wire [2 : 0] s00_axi_arprot;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi ARVALID" *)
input wire s00_axi_arvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi ARREADY" *)
output wire s00_axi_arready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi RDATA" *)
output wire [31 : 0] s00_axi_rdata;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi RRESP" *)
output wire [1 : 0] s00_axi_rresp;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi RVALID" *)
output wire s00_axi_rvalid;
(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME s00_axi, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 50000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.0, CLK_DOMAIN risc_axi_v5_top_wClk, NUM_READ_THREADS 1, NUM_WRITE_THREADS \
1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0, INSERT_VIP 0" *)
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 s00_axi RREADY" *)
input wire s00_axi_rready;
output wire uart_tx;
input wire uart_rx;
output wire dataready;
output wire sendready;
output wire sendfull;
output wire recvempty;
hdl4se_uart_ctrl_axi inst (
.s00_axi_aclk(s00_axi_aclk),
.s00_axi_aresetn(s00_axi_aresetn),
.s00_axi_awaddr(s00_axi_awaddr),
.s00_axi_awprot(s00_axi_awprot),
.s00_axi_awvalid(s00_axi_awvalid),
.s00_axi_awready(s00_axi_awready),
.s00_axi_wdata(s00_axi_wdata),
.s00_axi_wstrb(s00_axi_wstrb),
.s00_axi_wvalid(s00_axi_wvalid),
.s00_axi_wready(s00_axi_wready),
.s00_axi_bresp(s00_axi_bresp),
.s00_axi_bvalid(s00_axi_bvalid),
.s00_axi_bready(s00_axi_bready),
.s00_axi_araddr(s00_axi_araddr),
.s00_axi_arprot(s00_axi_arprot),
.s00_axi_arvalid(s00_axi_arvalid),
.s00_axi_arready(s00_axi_arready),
.s00_axi_rdata(s00_axi_rdata),
.s00_axi_rresp(s00_axi_rresp),
.s00_axi_rvalid(s00_axi_rvalid),
.s00_axi_rready(s00_axi_rready),
.uart_tx(uart_tx),
.uart_rx(uart_rx),
.dataready(dataready),
.sendready(sendready),
.sendfull(sendfull),
.recvempty(recvempty)
);
endmodule
......@@ -3,30 +3,28 @@
"modules": {
"risc_axi_v5_top": {
"proto_instances": {
"/hdl4se_uart_ctrl_axi_0/s00_axi": {
"/axi_uartlite_0/S_AXI": {
"interface": "xilinx.com:interface:aximm:1.0",
"ports": {
"ACLK": { "actual": "s00_axi_aclk"},
"ARADDR": { "actual": "s00_axi_araddr"},
"ARESETN": { "actual": "s00_axi_aresetn"},
"ARPROT": { "actual": "s00_axi_arprot"},
"ARREADY": { "actual": "s00_axi_arready"},
"ARVALID": { "actual": "s00_axi_arvalid"},
"AWADDR": { "actual": "s00_axi_awaddr"},
"AWPROT": { "actual": "s00_axi_awprot"},
"AWREADY": { "actual": "s00_axi_awready"},
"AWVALID": { "actual": "s00_axi_awvalid"},
"BREADY": { "actual": "s00_axi_bready"},
"BRESP": { "actual": "s00_axi_bresp"},
"BVALID": { "actual": "s00_axi_bvalid"},
"RDATA": { "actual": "s00_axi_rdata"},
"RREADY": { "actual": "s00_axi_rready"},
"RRESP": { "actual": "s00_axi_rresp"},
"RVALID": { "actual": "s00_axi_rvalid"},
"WDATA": { "actual": "s00_axi_wdata"},
"WREADY": { "actual": "s00_axi_wready"},
"WSTRB": { "actual": "s00_axi_wstrb"},
"WVALID": { "actual": "s00_axi_wvalid"}
"ACLK": { "actual": "s_axi_aclk"},
"ARADDR": { "actual": "s_axi_araddr"},
"ARESETN": { "actual": "s_axi_aresetn"},
"ARREADY": { "actual": "s_axi_arready"},
"ARVALID": { "actual": "s_axi_arvalid"},
"AWADDR": { "actual": "s_axi_awaddr"},
"AWREADY": { "actual": "s_axi_awready"},
"AWVALID": { "actual": "s_axi_awvalid"},
"BREADY": { "actual": "s_axi_bready"},
"BRESP": { "actual": "s_axi_bresp"},
"BVALID": { "actual": "s_axi_bvalid"},
"RDATA": { "actual": "s_axi_rdata"},
"RREADY": { "actual": "s_axi_rready"},
"RRESP": { "actual": "s_axi_rresp"},
"RVALID": { "actual": "s_axi_rvalid"},
"WDATA": { "actual": "s_axi_wdata"},
"WREADY": { "actual": "s_axi_wready"},
"WSTRB": { "actual": "s_axi_wstrb"},
"WVALID": { "actual": "s_axi_wvalid"}
}
},
"/led_key_0/s00_axi": {
......@@ -87,11 +85,9 @@
"ACLK": { "actual": "M00_ACLK"},
"ARADDR": { "actual": "M00_AXI_araddr[31:0]"},
"ARESETN": { "actual": "ARESETN"},
"ARPROT": { "actual": "M00_AXI_arprot[2:0]"},
"ARREADY": { "actual": "M00_AXI_arready"},
"ARVALID": { "actual": "M00_AXI_arvalid"},
"AWADDR": { "actual": "M00_AXI_awaddr[31:0]"},
"AWPROT": { "actual": "M00_AXI_awprot[2:0]"},
"AWREADY": { "actual": "M00_AXI_awready"},
"AWVALID": { "actual": "M00_AXI_awvalid"},
"BREADY": { "actual": "M00_AXI_bready"},
......@@ -165,11 +161,9 @@
"ACLK": { "actual": "M_ACLK"},
"ARADDR": { "actual": "M_AXI_araddr[31:0]"},
"ARESETN": { "actual": "M_ARESETN"},
"ARPROT": { "actual": "M_AXI_arprot[2:0]"},
"ARREADY": { "actual": "M_AXI_arready"},
"ARVALID": { "actual": "M_AXI_arvalid"},
"AWADDR": { "actual": "M_AXI_awaddr[31:0]"},
"AWPROT": { "actual": "M_AXI_awprot[2:0]"},
"AWREADY": { "actual": "M_AXI_awready"},
"AWVALID": { "actual": "M_AXI_awvalid"},
"BREADY": { "actual": "M_AXI_bready"},
......@@ -191,11 +185,9 @@
"ACLK": { "actual": "S_ACLK"},
"ARADDR": { "actual": "S_AXI_araddr[31:0]"},
"ARESETN": { "actual": "S_ARESETN"},
"ARPROT": { "actual": "S_AXI_arprot[2:0]"},
"ARREADY": { "actual": "S_AXI_arready"},
"ARVALID": { "actual": "S_AXI_arvalid"},
"AWADDR": { "actual": "S_AXI_awaddr[31:0]"},
"AWPROT": { "actual": "S_AXI_awprot[2:0]"},
"AWREADY": { "actual": "S_AXI_awready"},
"AWVALID": { "actual": "S_AXI_awvalid"},
"BREADY": { "actual": "S_AXI_bready"},
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101110100001111010011110000011
11101000100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11101010000001111000010100010011
11100100000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101110100001111010011110000011
11101000100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11101010010001111000010100010011
11100100010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11101010100001111000010100010011
11100100100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11101010110001111000010100010011
11100100110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11101011000001111000010100010011
11100101000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11101011010001111000010100010011
11100101010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11101011100001111000010100010011
11100101100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101110111001111010010000100011
11101000111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11101011110001111000010100010011
11100101110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,39 +687,27 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
11011001000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101010010011111111000011101111
11101101010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11101100000001111000010100010011
11100010110011111111000011101111
11100110000001111000010100010011
11100101110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100010000011111111000011101111
11100101000011111111000011101111
00000000000000000001011110110111
11101011100001111000010100010011
11100001010011111111000011101111
11100101100001111000010100010011
11100100010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00001010111101110001011001100011
00000101010000000000000001101111
00000110111101110001111001100011
00000010010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -727,24 +715,12 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111001000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100000110111111111000011101111
10100110110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -759,7 +735,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11100100010111111111000011101111
11101010010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -768,14 +744,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10101010100111111111000011101111
10110000100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101110111001111010010000100011
10111100010111111111000011101111
11101000111001111010010000100011
11000010010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -785,19 +761,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100110010111111111000011101111
10101100010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100100110111111111000011101111
10101010110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100011010111111111000011101111
10101001010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -823,7 +799,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11010100010111111111000011101111
11011010010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -834,13 +810,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011010000111111111000011101111
10100000000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011000100111111111000011101111
10011110100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -849,8 +825,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11101100010001111000010100010011
10111111100011111111000011101111
11100110010001111000010100010011
11000101100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -859,8 +835,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11101100110001111000010100010011
10111101000011111111000011101111
11100110110001111000010100010011
11000011000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -869,10 +845,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11101101010001111000010100010011
10111010100011111111000011101111
11100111010001111000010100010011
11000000100011111111000011101111
00000000100000000000000001101111
11001000000111111111000011101111
11001110000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -892,23 +868,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100001010011111111000011101111
11100111010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110100010011111111000011101111
10111010010011111111000011101111
00000000000000000001011110110111
11101101110001111000010100010011
10110011100011111111000011101111
11100111110001111000010100010011
10111001100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11000110100011111111000011101111
11001100100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110001110011111111000011101111
10110111110011111111000011101111
00000000000000000001011110110111
11101110000001111000010100010011
10110001000011111111000011101111
11101000000001111000010100010011
10110111000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -920,20 +896,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11011010010011111111000011101111
11100000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10101101010011111111000011101111
10110011010011111111000011101111
00000000000000000001011110110111
11101110010001111000010100010011
10101100100011111111000011101111
11101000010001111000010100010011
10110010100011111111000011101111
00000000100000000000000001101111
10111010000111111111000011101111
11000000000111111111000011101111
00000000000000000000000000010011
10010111110011111111000011101111
10011101110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
10111111010111111111000001101111
11000101010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -1022,3 +998,27 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -21,63 +21,63 @@
<key attr.name="vert_type" attr.type="string" for="node" id="VT"/>
<graph edgedefault="undirected" id="G" parse.edgeids="canonical" parse.nodeids="canonical" parse.order="nodesfirst">
<node id="n0">
<data key="TU">active</data>
<data key="VH">2</data>
<data key="VT">PM</data>
</node>
<node id="n1">
<data key="VH">2</data>
<data key="VM">risc_axi_v5_top</data>
<data key="VT">VR</data>
</node>
<node id="n2">
<data key="BA">0xF0000100</data>
<data key="BA">0xF0000000</data>
<data key="BP">C_BASEADDR</data>
<data key="HA">0xF000017F</data>
<data key="HA">0xF000007F</data>
<data key="HP">C_HIGHADDR</data>
<data key="MA">m00_axi</data>
<data key="MX">/riscv_core_with_axi_0</data>
<data key="MI">m00_axi</data>
<data key="MS">SEG_hdl4se_uart_ctrl_axi_0_reg0</data>
<data key="MS">SEG_led_key_0_reg0</data>
<data key="MV">xilinx.com:module_ref:riscv_core_with_axi_master_xilinxwrap:1.0</data>
<data key="TM">both</data>
<data key="SX">/hdl4se_uart_ctrl_axi_0</data>
<data key="SX">/led_key_0</data>
<data key="SI">s00_axi</data>
<data key="SS">reg0</data>
<data key="SV">xilinx.com:module_ref:hdl4se_uart_ctrl_axi:1.0</data>
<data key="SV">xilinx.com:module_ref:led_key:1.0</data>
<data key="TU">register</data>
<data key="VT">AC</data>
</node>
<node id="n3">
<node id="n1">
<data key="VM">risc_axi_v5_top</data>
<data key="VT">BC</data>
</node>
<node id="n2">
<data key="VH">2</data>
<data key="VM">risc_axi_v5_top</data>
<data key="VT">VR</data>
</node>
<node id="n3">
<data key="TU">active</data>
<data key="VH">2</data>
<data key="VT">PM</data>
</node>
<node id="n4">
<data key="BA">0xF0000000</data>
<data key="BA">0xF0000100</data>
<data key="BP">C_BASEADDR</data>
<data key="HA">0xF000007F</data>
<data key="HA">0xF000017F</data>
<data key="HP">C_HIGHADDR</data>
<data key="MA">m00_axi</data>
<data key="MX">/riscv_core_with_axi_0</data>
<data key="MI">m00_axi</data>
<data key="MS">SEG_led_key_0_reg0</data>
<data key="MS">SEG_axi_uartlite_0_Reg</data>
<data key="MV">xilinx.com:module_ref:riscv_core_with_axi_master_xilinxwrap:1.0</data>
<data key="TM">both</data>
<data key="SX">/led_key_0</data>
<data key="SI">s00_axi</data>
<data key="SS">reg0</data>
<data key="SV">xilinx.com:module_ref:led_key:1.0</data>
<data key="SX">/axi_uartlite_0</data>
<data key="SI">S_AXI</data>
<data key="SS">Reg</data>
<data key="SV">xilinx.com:ip:axi_uartlite:2.0</data>
<data key="TU">register</data>
<data key="VT">AC</data>
</node>
<edge id="e0" source="n3" target="n1">
<edge id="e0" source="n1" target="n2">
</edge>
<edge id="e1" source="n1" target="n0">
<edge id="e1" source="n2" target="n3">
</edge>
<edge id="e2" source="n4" target="n0">
<edge id="e2" source="n0" target="n3">
<data key="EH">2</data>
</edge>
<edge id="e3" source="n2" target="n0">
<edge id="e3" source="n4" target="n3">
<data key="EH">2</data>
</edge>
</graph>
......
......@@ -515,7 +515,7 @@ FB010113,
3512C23,
5010413,
17B7,
EE87A783,
E887A783,
FF07F793,
FCF42A23,
FC042C23,
......@@ -534,7 +534,7 @@ FBC40793,
78513,
8F9FF0EF,
17B7,
EA078513,
E4078513,
8EDFF0EF,
FC042E23,
A40006F,
......@@ -544,10 +544,10 @@ FDC42703,
FD442783,
F70733,
17B7,
EE87A783,
E887A783,
F77A63,
17B7,
EA478513,
E4478513,
8B9FF0EF,
500006F,
FDC42783,
......@@ -567,13 +567,13 @@ FBC40793,
78513,
875FF0EF,
17B7,
EA878513,
E4878513,
869FF0EF,
FDC42703,
700793,
F71863,
17B7,
EAC78513,
E4C78513,
851FF0EF,
FDC42783,
178793,
......@@ -582,7 +582,7 @@ FDC42703,
F00793,
F4E7DCE3,
17B7,
EB078513,
E5078513,
82DFF0EF,
FC042E23,
780006F,
......@@ -619,7 +619,7 @@ FDC42703,
F00793,
F8E7D2E3,
17B7,
EB478513,
E5478513,
F98FF0EF,
FD442783,
1078793,
......@@ -636,11 +636,11 @@ E4E7D0E3,
80006F,
13,
17B7,
EB878513,
E5878513,
F54FF0EF,
17B7,
FD442703,
EEE7A423,
E8E7A423,
13,
4C12083,
4812403,
......@@ -680,7 +680,7 @@ D7CFF0EF,
50793,
78863,
17B7,
EBC78513,
E5C78513,
EA4FF0EF,
FDC42783,
178793,
......@@ -689,39 +689,27 @@ F00007B7,
478793,
FDC42703,
E7A023,
FDC42703,
F47B7,
24078793,
2F767B3,
2079063,
F8440793,
FDC42583,
78513,
FA4FF0EF,
F8440793,
78513,
E58FF0EF,
D60FF0EF,
D90FF0EF,
50793,
4078663,
F8440793,
2700593,
78513,
EA4FF0EF,
ED4FF0EF,
13,
17B7,
EC078513,
E2CFF0EF,
E6078513,
E5CFF0EF,
F8440793,
78513,
E20FF0EF,
E50FF0EF,
17B7,
EB878513,
E14FF0EF,
E5878513,
E44FF0EF,
F8444703,
6200793,
AF71663,
540006F,
6F71E63,
240006F,
FDC42783,
178793,
FCF42E23,
......@@ -729,24 +717,12 @@ F00007B7,
478793,
FDC42703,
E7A023,
FDC42703,
F47B7,
24078793,
2F767B3,
F80790E3,
F8440793,
FDC42583,
78513,
F04FF0EF,
F8440793,
78513,
DB8FF0EF,
F61FF06F,
F91FF06F,
F8440793,
278793,
593,
78513,
A0DFF0EF,
A6DFF0EF,
FAA42C23,
FB842783,
2F05863,
......@@ -761,7 +737,7 @@ FB442783,
C78793,
E7A023,
2AC0006F,
E45FF0EF,
EA5FF0EF,
2A40006F,
F8444703,
6400793,
......@@ -770,14 +746,14 @@ F8440793,
278793,
593,
78513,
AA9FF0EF,
B09FF0EF,
FAA42E23,
FBC42783,
F05863,
FBC42703,
17B7,
EEE7A423,
BC5FF0EF,
E8E7A423,
C25FF0EF,
2640006F,
F8444703,
7700793,
......@@ -787,19 +763,19 @@ F8440793,
FB040713,
70593,
78513,
A65FF0EF,
AC5FF0EF,
FCA42423,
FB042783,
FB040713,
70593,
78513,
A4DFF0EF,
AADFF0EF,
FCA42223,
FB042783,
FB040713,
70593,
78513,
A35FF0EF,
A95FF0EF,
FCA42023,
FC042703,
100793,
......@@ -825,7 +801,7 @@ FC842783,
FC442703,
E7A023,
1AC0006F,
D45FF0EF,
DA5FF0EF,
1A40006F,
F8444703,
7200793,
......@@ -836,13 +812,13 @@ F8440793,
FAC40713,
70593,
78513,
9A1FF0EF,
A01FF0EF,
FCA42823,
FAC42783,
FAC40713,
70593,
78513,
989FF0EF,
9E9FF0EF,
FCA42623,
FCC42703,
100793,
......@@ -851,8 +827,8 @@ FD042783,
7C783,
FCF42C23,
17B7,
EC478513,
BF8FF0EF,
E6478513,
C58FF0EF,
580006F,
FCC42703,
200793,
......@@ -861,8 +837,8 @@ FD042783,
79783,
FCF42C23,
17B7,
ECC78513,
BD0FF0EF,
E6C78513,
C30FF0EF,
300006F,
FCC42703,
400793,
......@@ -871,10 +847,10 @@ FD042783,
7A783,
FCF42C23,
17B7,
ED478513,
BA8FF0EF,
E7478513,
C08FF0EF,
80006F,
C81FF0EF,
CE1FF0EF,
FCC42703,
100793,
F70E63,
......@@ -894,23 +870,23 @@ F8440793,
90593,
98613,
78513,
E14FF0EF,
E74FF0EF,
F8440793,
78513,
B44FF0EF,
BA4FF0EF,
17B7,
EDC78513,
B38FF0EF,
E7C78513,
B98FF0EF,
F8440793,
FD842583,
78513,
C68FF0EF,
CC8FF0EF,
F8440793,
78513,
B1CFF0EF,
B7CFF0EF,
17B7,
EE078513,
B10FF0EF,
E8078513,
B70FF0EF,
FD842783,
78A13,
41F7D793,
......@@ -922,20 +898,20 @@ F8440793,
A0593,
A8613,
78513,
DA4FF0EF,
E04FF0EF,
F8440793,
78513,
AD4FF0EF,
B34FF0EF,
17B7,
EE478513,
AC8FF0EF,
E8478513,
B28FF0EF,
80006F,
BA1FF0EF,
C01FF0EF,
13,
97CFF0EF,
9DCFF0EF,
50793,
FE078CE3,
BF5FF06F,
C55FF06F,
2020,
202020,
20,
......@@ -1024,4 +1000,28 @@ CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
;
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:59:09 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 12:39:07 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:54:56 +0800 2021
#
################################################################################
......
......@@ -13,8 +13,12 @@ risc_axi_v5_top_xbar_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/r
lib_cdc_v1_0_rfs.vhd,vhdl,lib_cdc_v1_0_2,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
proc_sys_reset_v5_0_vh_rfs.vhd,vhdl,proc_sys_reset_v5_0_13,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_rst_wClk_50M_0.vhd,vhdl,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_rst_wClk_50M_0/sim/risc_axi_v5_top_rst_wClk_50M_0.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_riscv_core_with_axi_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_riscv_core_with_axi_0_0/sim/risc_axi_v5_top_riscv_core_with_axi_0_0.v,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_led_key_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_led_key_0_0/sim/risc_axi_v5_top_led_key_0_0.v,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_riscv_core_with_axi_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_riscv_core_with_axi_0_0/sim/risc_axi_v5_top_riscv_core_with_axi_0_0.v,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/sim/risc_axi_v5_top.v,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0/sim/risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0.v,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
axi_lite_ipif_v3_0_vh_rfs.vhd,vhdl,axi_lite_ipif_v3_0_4,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/66ea/hdl/axi_lite_ipif_v3_0_vh_rfs.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
lib_pkg_v1_0_rfs.vhd,vhdl,lib_pkg_v1_0_2,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/0513/hdl/lib_pkg_v1_0_rfs.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
lib_srl_fifo_v1_0_rfs.vhd,vhdl,lib_srl_fifo_v1_0_2,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/51ce/hdl/lib_srl_fifo_v1_0_rfs.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
axi_uartlite_v2_0_vh_rfs.vhd,vhdl,axi_uartlite_v2_0_28,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/8c9b/hdl/axi_uartlite_v2_0_vh_rfs.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_axi_uartlite_0_0.vhd,vhdl,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_axi_uartlite_0_0/sim/risc_axi_v5_top_axi_uartlite_0_0.vhd,incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
glbl.v,Verilog,xil_defaultlib,glbl.v
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 12:39:07 +0800 2021
# Generated by export_simulation on Mon Sep 13 21:54:56 +0800 2021
#
################################################################################
......
......@@ -13,8 +13,12 @@ risc_axi_v5_top_xbar_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/r
lib_cdc_v1_0_rfs.vhd,vhdl,lib_cdc_v1_0_2,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
proc_sys_reset_v5_0_vh_rfs.vhd,vhdl,proc_sys_reset_v5_0_13,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_rst_wClk_50M_0.vhd,vhdl,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_rst_wClk_50M_0/sim/risc_axi_v5_top_rst_wClk_50M_0.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_riscv_core_with_axi_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_riscv_core_with_axi_0_0/sim/risc_axi_v5_top_riscv_core_with_axi_0_0.v,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_led_key_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_led_key_0_0/sim/risc_axi_v5_top_led_key_0_0.v,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_riscv_core_with_axi_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_riscv_core_with_axi_0_0/sim/risc_axi_v5_top_riscv_core_with_axi_0_0.v,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/sim/risc_axi_v5_top.v,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0.v,verilog,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0/sim/risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0.v,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
axi_lite_ipif_v3_0_vh_rfs.vhd,vhdl,axi_lite_ipif_v3_0_4,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/66ea/hdl/axi_lite_ipif_v3_0_vh_rfs.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
lib_pkg_v1_0_rfs.vhd,vhdl,lib_pkg_v1_0_2,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/0513/hdl/lib_pkg_v1_0_rfs.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
lib_srl_fifo_v1_0_rfs.vhd,vhdl,lib_srl_fifo_v1_0_2,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/51ce/hdl/lib_srl_fifo_v1_0_rfs.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
axi_uartlite_v2_0_vh_rfs.vhd,vhdl,axi_uartlite_v2_0_28,../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/8c9b/hdl/axi_uartlite_v2_0_vh_rfs.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
risc_axi_v5_top_axi_uartlite_0_0.vhd,vhdl,xil_defaultlib,../../../bd/risc_axi_v5_top/ip/risc_axi_v5_top_axi_uartlite_0_0/sim/risc_axi_v5_top_axi_uartlite_0_0.vhd,incdir="$ref_dir/../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"incdir="../../../../riscv_axi_v5.gen/sources_1/bd/risc_axi_v5_top/ipshared/ec67/hdl"
glbl.v,Verilog,xil_defaultlib,glbl.v
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册