未验证 提交 e2bdcf35 编写于 作者: Y Yinan Xu 提交者: GitHub

Merge pull request #419 from RISCVERS/rev-lq

Revert "LoadQueue: select load refilled this cycle for wb"
......@@ -290,7 +290,7 @@ class LoadQueue extends XSModule
// Stage 0
// Generate writeback indexes
val loadWbSelVec = VecInit((0 until LoadQueueSize).map(i => {
allocated(i) && !writebacked(i) && (datavalid(i) || dataModule.io.refill.wen(i))
allocated(i) && datavalid(i) && !writebacked(i)
})).asUInt() // use uint instead vec to reduce verilog lines
val loadEvenSelVec = VecInit((0 until LoadQueueSize/2).map(i => {loadWbSelVec(2*i)}))
val loadOddSelVec = VecInit((0 until LoadQueueSize/2).map(i => {loadWbSelVec(2*i+1)}))
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册