提交 cd56bc49 编写于 作者: J jinyue

IssueQueue: fix bug that select will be written in sel register when redirect

上级 67013211
......@@ -303,8 +303,12 @@ class IssueQueue(val fuTypeInt: BigInt, val wakeupCnt: Int, val bypassCnt: Int =
List.tabulate(iqSize)( i =>
when(brRedirect && (UIntToOH(io.redirect.bits.brTag) & brMask(i)).orR && valid(i) ){
validReg(i) := false.B
validFire(dequeueSelect) := true.B
} .elsewhen(expRedirect) {
validReg(i) := false.B
validFire(dequeueSelect) := true.B
}
)
//---------------------------------------------------------
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册