提交 c2a48752 编写于 作者: W William Wang

Data8Module: eliminate masked write priority

上级 f76bdb3a
......@@ -135,11 +135,12 @@ class Data8Module(numEntries: Int, numRead: Int, numWrite: Int) extends XSModule
}
// masked write
for (i <- 0 until blockWords) {
for (j <- 0 until numEntries) {
when (io.mwmask(i)(j)) {
data(j) := io.mwdata(i)
}
for (j <- 0 until numEntries) {
val wen = VecInit((0 until blockWords).map(i => io.mwmask(i)(j))).asUInt.orR
when (wen) {
data(j) := VecInit((0 until blockWords).map(i => {
Mux(io.mwmask(i)(j), io.mwdata(i), 0.U)
})).reduce(_ | _)
}
}
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册