提交 536766eb 编写于 作者: W William Wang

PipelineConnect: no longer use bulk connection

* By doing this, we can use PipelineConnect to build in-modile pipeline
上级 5cb5b1c3
......@@ -11,14 +11,14 @@ object PipelineConnect {
when (isFlush) { valid := false.B }
left.ready := right.ready
right.bits <> RegEnable(left.bits, left.valid && right.ready)
right.bits := RegEnable(left.bits, left.valid && right.ready)
right.valid := valid //&& !isFlush
}
def apply[T <: Data](left: DecoupledIO[T], right: DecoupledIO[T], rightOutFire: Bool, isFlush: Bool, brIdx: UInt, recIdx: UInt): Any = {
val brIdxReg = RegEnable(brIdx, left.valid && right.ready)
val mprFlush = false.B //TODO
apply(left, right, rightOutFire, isFlush || mprFlush)
brIdxReg
}
// def apply[T <: Data](left: DecoupledIO[T], right: DecoupledIO[T], rightOutFire: Bool, isFlush: Bool, brIdx: UInt, recIdx: UInt): Any = {
// val brIdxReg = RegEnable(brIdx, left.valid && right.ready)
// val mprFlush = false.B //TODO
// apply(left, right, rightOutFire, isFlush || mprFlush)
// brIdxReg
// }
}
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册