AtomicsUnit.scala 7.8 KB
Newer Older
A
Allen 已提交
1 2 3 4 5 6
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
7
import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants}
8
import xiangshan.backend.LSUOpType
A
Allen 已提交
9 10 11 12 13

class AtomicsUnit extends XSModule with MemoryOpConstants{
  val io = IO(new Bundle() {
    val in            = Flipped(Decoupled(new ExuInput))
    val out           = Decoupled(new ExuOutput)
14
    val dcache        = new DCacheWordIO
A
Allen 已提交
15 16
    val dtlb          = new TlbRequestIO
    val flush_sbuffer = new SbufferFlushBundle
17
    val tlbFeedback   = ValidIO(new TlbFeedback)
18
    val redirect      = Flipped(ValidIO(new Redirect))
19
    val exceptionAddr = ValidIO(UInt(VAddrBits.W))
A
Allen 已提交
20 21 22 23 24
  })

  //-------------------------------------------------------
  // Atomics Memory Accsess FSM
  //-------------------------------------------------------
25
  val s_invalid :: s_tlb  :: s_flush_sbuffer_req :: s_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_finish :: Nil = Enum(7)
A
Allen 已提交
26 27
  val state = RegInit(s_invalid)
  val in = Reg(new ExuInput())
28
  val atom_override_xtval = RegInit(false.B)
A
Allen 已提交
29 30 31 32
  // paddr after translation
  val paddr = Reg(UInt())
  // dcache response data
  val resp_data = Reg(UInt())
A
Allen 已提交
33
  val is_lrsc_valid = Reg(Bool())
A
Allen 已提交
34

35 36
  io.exceptionAddr.valid := atom_override_xtval
  io.exceptionAddr.bits  := in.src1
37

A
Allen 已提交
38 39 40 41 42 43 44 45 46 47 48
  // assign default value to output signals
  io.in.ready          := false.B
  io.out.valid         := false.B
  io.out.bits          := DontCare

  io.dcache.req.valid  := false.B
  io.dcache.req.bits   := DontCare
  io.dcache.resp.ready := false.B

  io.dtlb.req.valid    := false.B
  io.dtlb.req.bits     := DontCare
49
  io.dtlb.resp.ready   := false.B
A
Allen 已提交
50

51
  io.flush_sbuffer.valid := false.B
A
Allen 已提交
52

53
  XSDebug("state: %d\n", state)
A
Allen 已提交
54

55
  when (state === s_invalid) {
A
Allen 已提交
56 57 58 59 60 61 62
    io.in.ready := true.B
    when (io.in.fire()) {
      in := io.in.bits
      state := s_tlb
    }
  }

63 64 65 66 67 68 69 70 71
  // Send TLB feedback to store issue queue
  // we send feedback right after we receives request
  // also, we always treat amo as tlb hit
  // since we will continue polling tlb all by ourself
  io.tlbFeedback.valid       := RegNext(io.in.fire())
  io.tlbFeedback.bits.hit    := true.B
  io.tlbFeedback.bits.roqIdx := in.uop.roqIdx


A
Allen 已提交
72 73 74 75 76 77 78
  // tlb translation, manipulating signals && deal with exception
  when (state === s_tlb) {
    // send req to dtlb
    // keep firing until tlb hit
    io.dtlb.req.valid       := true.B
    io.dtlb.req.bits.vaddr  := in.src1
    io.dtlb.req.bits.roqIdx := in.uop.roqIdx
79
    io.dtlb.resp.ready      := true.B
A
Allen 已提交
80 81
    val is_lr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d
    io.dtlb.req.bits.cmd    := Mux(is_lr, TlbCmd.read, TlbCmd.write)
A
Allen 已提交
82 83
    io.dtlb.req.bits.debug.pc := in.uop.cf.pc

84
    when(io.dtlb.resp.fire && !io.dtlb.resp.bits.miss){
A
Allen 已提交
85 86 87 88 89 90 91 92 93
      // exception handling
      val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List(
        "b00".U   -> true.B,              //b
        "b01".U   -> (in.src1(0) === 0.U),   //h
        "b10".U   -> (in.src1(1,0) === 0.U), //w
        "b11".U   -> (in.src1(2,0) === 0.U)  //d
      ))
      in.uop.cf.exceptionVec(storeAddrMisaligned) := !addrAligned
      in.uop.cf.exceptionVec(storePageFault)      := io.dtlb.resp.bits.excp.pf.st
94 95
      in.uop.cf.exceptionVec(loadPageFault)       := io.dtlb.resp.bits.excp.pf.ld
      val exception = !addrAligned || io.dtlb.resp.bits.excp.pf.st || io.dtlb.resp.bits.excp.pf.ld
A
Allen 已提交
96 97 98 99
      when (exception) {
        // check for exceptions
        // if there are exceptions, no need to execute it
        state := s_finish
100
        atom_override_xtval := true.B
A
Allen 已提交
101 102 103 104
      } .otherwise {
        paddr := io.dtlb.resp.bits.paddr
        state := s_flush_sbuffer_req
      }
A
Allen 已提交
105 106 107 108 109
    }
  }


  when (state === s_flush_sbuffer_req) {
110 111
    io.flush_sbuffer.valid := true.B
    state := s_flush_sbuffer_resp
A
Allen 已提交
112 113 114
  }

  when (state === s_flush_sbuffer_resp) {
115
    when (io.flush_sbuffer.empty) {
A
Allen 已提交
116 117 118 119 120 121 122
      state := s_cache_req
    }
  }

  when (state === s_cache_req) {
    io.dcache.req.valid := true.B
    io.dcache.req.bits.cmd := LookupTree(in.uop.ctrl.fuOpType, List(
A
Allen 已提交
123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145
      LSUOpType.lr_w      -> M_XLR,
      LSUOpType.sc_w      -> M_XSC,
      LSUOpType.amoswap_w -> M_XA_SWAP,
      LSUOpType.amoadd_w  -> M_XA_ADD,
      LSUOpType.amoxor_w  -> M_XA_XOR,
      LSUOpType.amoand_w  -> M_XA_AND,
      LSUOpType.amoor_w   -> M_XA_OR,
      LSUOpType.amomin_w  -> M_XA_MIN,
      LSUOpType.amomax_w  -> M_XA_MAX,
      LSUOpType.amominu_w -> M_XA_MINU,
      LSUOpType.amomaxu_w -> M_XA_MAXU,

      LSUOpType.lr_d      -> M_XLR,
      LSUOpType.sc_d      -> M_XSC,
      LSUOpType.amoswap_d -> M_XA_SWAP,
      LSUOpType.amoadd_d  -> M_XA_ADD,
      LSUOpType.amoxor_d  -> M_XA_XOR,
      LSUOpType.amoand_d  -> M_XA_AND,
      LSUOpType.amoor_d   -> M_XA_OR,
      LSUOpType.amomin_d  -> M_XA_MIN,
      LSUOpType.amomax_d  -> M_XA_MAX,
      LSUOpType.amominu_d -> M_XA_MINU,
      LSUOpType.amomaxu_d -> M_XA_MAXU
A
Allen 已提交
146 147
    ))

Z
ZhangZifei 已提交
148
    io.dcache.req.bits.addr := paddr
A
Allen 已提交
149
    io.dcache.req.bits.data := genWdata(in.src2, in.uop.ctrl.fuOpType(1,0))
A
Allen 已提交
150
    // TODO: atomics do need mask: fix mask
A
Allen 已提交
151
    io.dcache.req.bits.mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0))
152
    io.dcache.req.bits.meta.id       := DontCare
A
Allen 已提交
153 154 155 156 157 158 159 160 161 162 163 164
    io.dcache.req.bits.meta.paddr    := paddr
    io.dcache.req.bits.meta.tlb_miss := false.B
    io.dcache.req.bits.meta.replay   := false.B

    when(io.dcache.req.fire()){
      state := s_cache_resp
    }
  }

  when (state === s_cache_resp) {
    io.dcache.resp.ready := true.B
    when(io.dcache.resp.fire()) {
A
Allen 已提交
165
      is_lrsc_valid := io.dcache.resp.bits.meta.id
166 167 168 169 170 171 172 173 174 175 176 177 178 179
      val rdata = io.dcache.resp.bits.data
      val rdataSel = LookupTree(paddr(2, 0), List(
        "b000".U -> rdata(63, 0),
        "b001".U -> rdata(63, 8),
        "b010".U -> rdata(63, 16),
        "b011".U -> rdata(63, 24),
        "b100".U -> rdata(63, 32),
        "b101".U -> rdata(63, 40),
        "b110".U -> rdata(63, 48),
        "b111".U -> rdata(63, 56)
      ))

      resp_data := LookupTree(in.uop.ctrl.fuOpType, List(
        LSUOpType.lr_w      -> SignExt(rdataSel(31, 0), XLEN),
180
        LSUOpType.sc_w      -> rdata,
181 182 183 184 185 186 187 188 189 190 191
        LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amoadd_w  -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amoxor_w  -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amoand_w  -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amoor_w   -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amomin_w  -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amomax_w  -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN),
        LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN),

        LSUOpType.lr_d      -> SignExt(rdataSel(63, 0), XLEN),
192
        LSUOpType.sc_d      -> rdata,
193 194 195 196 197 198 199 200 201 202 203
        LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amoadd_d  -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amoxor_d  -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amoand_d  -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amoor_d   -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amomin_d  -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amomax_d  -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN),
        LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN)
      ))

A
Allen 已提交
204 205 206 207 208 209 210
      state := s_finish
    }
  }

  when (state === s_finish) {
    io.out.valid := true.B
    io.out.bits.uop := in.uop
A
Allen 已提交
211
    io.out.bits.uop.diffTestDebugLrScValid := is_lrsc_valid
A
Allen 已提交
212 213 214 215 216 217 218
    io.out.bits.data := resp_data
    io.out.bits.redirectValid := false.B
    io.out.bits.redirect := DontCare
    io.out.bits.brUpdate := DontCare
    io.out.bits.debug.isMMIO := AddressSpace.isMMIO(paddr)
    when (io.out.fire()) {
      XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data)
219
      state := s_invalid
A
Allen 已提交
220 221
    }
  }
222 223 224 225

  when(io.redirect.valid){
    atom_override_xtval := false.B
  }
Z
ZhangZifei 已提交
226
}