StoreQueue.scala 14.6 KB
Newer Older
1 2 3 4 5 6 7 8 9
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
import xiangshan.cache._
import xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants}
import xiangshan.backend.LSUOpType
10
import xiangshan.backend.roq.RoqPtr
11

12 13 14 15 16 17 18 19 20 21 22 23

class SqPtr extends CircularQueuePtr(SqPtr.StoreQueueSize) { }

object SqPtr extends HasXSParameter {
  def apply(f: Bool, v: UInt): SqPtr = {
    val ptr = Wire(new SqPtr)
    ptr.flag := f
    ptr.value := v
    ptr
  }
}

24
// Store Queue
25
class StoreQueue extends XSModule with HasDCacheParameters with HasCircularQueuePtrHelper {
26
  val io = IO(new Bundle() {
27 28 29 30 31
    val enq = new Bundle() {
      val canAccept = Output(Bool())
      val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp)))
      val resp = Vec(RenameWidth, Output(new SqPtr))
    }
32 33 34
    val brqRedirect = Input(Valid(new Redirect))
    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
    val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq))
35
    val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store
36 37 38
    val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO))
    val commits = Flipped(Vec(CommitWidth, Valid(new RoqCommit)))
    val uncache = new DCacheWordIO
39
    val roqDeqPtr = Input(new RoqPtr)
40
    // val refill = Flipped(Valid(new DCacheLineReq ))
41
    val exceptionAddr = new ExceptionAddrIO
42 43
  })
  
W
William Wang 已提交
44
  val uop = Reg(Vec(StoreQueueSize, new MicroOp))
W
William Wang 已提交
45
  // val data = Reg(Vec(StoreQueueSize, new LsqEntry))
W
William Wang 已提交
46 47
  val dataModule = Module(new LSQueueData(StoreQueueSize, StorePipelineWidth))
  dataModule.io := DontCare 
W
William Wang 已提交
48
  val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated
49
  val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid
W
William Wang 已提交
50
  val writebacked = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // inst has been writebacked to CDB
51
  val commited = Reg(Vec(StoreQueueSize, Bool())) // inst has been commited by roq
W
William Wang 已提交
52
  val pending = Reg(Vec(StoreQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of roq
53
  
54 55 56 57 58 59 60 61
  val enqPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
  val deqPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
  val enqPtr = enqPtrExt.value
  val deqPtr = deqPtrExt.value
  val sameFlag = enqPtrExt.flag === deqPtrExt.flag
  val isEmpty = enqPtr === deqPtr && sameFlag
  val isFull = enqPtr === deqPtr && !sameFlag
  val allowIn = !isFull
62 63
  
  val storeCommit = (0 until CommitWidth).map(i => io.commits(i).valid && !io.commits(i).bits.isWalk && io.commits(i).bits.uop.ctrl.commitType === CommitType.STORE)
64
  val mcommitIdx = (0 until CommitWidth).map(i => io.commits(i).bits.uop.sqIdx.value)
65

66 67
  val tailMask = (((1.U((StoreQueueSize + 1).W)) << deqPtr).asUInt - 1.U)(StoreQueueSize - 1, 0)
  val headMask = (((1.U((StoreQueueSize + 1).W)) << enqPtr).asUInt - 1.U)(StoreQueueSize - 1, 0)
68
  val enqDeqMask1 = tailMask ^ headMask
69
  val enqDeqMask = Mux(sameFlag, enqDeqMask1, ~enqDeqMask1)
70 71

  // Enqueue at dispatch
72
  val validEntries = distanceBetween(enqPtrExt, deqPtrExt)
73
  val firedDispatch = io.enq.req.map(_.valid)
74
  io.enq.canAccept := validEntries <= (StoreQueueSize - RenameWidth).U
Y
Yinan Xu 已提交
75
  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(firedDispatch))}\n")
76
  for (i <- 0 until RenameWidth) {
77
    val offset = if (i == 0) 0.U else PopCount((0 until i).map(firedDispatch(_)))
78
    val sqIdx = enqPtrExt + offset
79
    val index = sqIdx.value
80 81
    when(io.enq.req(i).valid) {
      uop(index) := io.enq.req(i).bits
82
      allocated(index) := true.B
83
      datavalid(index) := false.B
84 85 86 87
      writebacked(index) := false.B
      commited(index) := false.B
      pending(index) := false.B
    }
88 89
    io.enq.resp(i) := sqIdx

Y
Yinan Xu 已提交
90
    XSError(!io.enq.canAccept && io.enq.req(i).valid, "should not valid when not ready\n")
91 92
  }

93
  when(Cat(firedDispatch).orR) {
94
    enqPtrExt := enqPtrExt + PopCount(firedDispatch)
W
William Wang 已提交
95
    XSInfo("dispatched %d insts to sq\n", PopCount(firedDispatch))
96 97
  }
    
98 99
  // writeback store
  (0 until StorePipelineWidth).map(i => {
W
William Wang 已提交
100
    dataModule.io.wb(i).wen := false.B
101 102
    when(io.storeIn(i).fire()) {
      val stWbIndex = io.storeIn(i).bits.uop.sqIdx.value
103
      val hasException = io.storeIn(i).bits.uop.cf.exceptionVec.asUInt.orR
104 105 106 107
      val hasWritebacked = !io.storeIn(i).bits.mmio || hasException
      datavalid(stWbIndex) := hasWritebacked
      writebacked(stWbIndex) := hasWritebacked
      pending(stWbIndex) := !hasWritebacked // valid mmio require
W
William Wang 已提交
108

W
William Wang 已提交
109
      val storeWbData = Wire(new LsqEntry)
W
William Wang 已提交
110 111 112 113 114 115 116 117 118 119 120
      storeWbData := DontCare
      storeWbData.paddr := io.storeIn(i).bits.paddr
      storeWbData.vaddr := io.storeIn(i).bits.vaddr
      storeWbData.mask := io.storeIn(i).bits.mask
      storeWbData.data := io.storeIn(i).bits.data
      storeWbData.mmio := io.storeIn(i).bits.mmio
      storeWbData.exception := io.storeIn(i).bits.uop.cf.exceptionVec.asUInt

      dataModule.io.wbWrite(i, stWbIndex, storeWbData)
      dataModule.io.wb(i).wen := true.B

121
      XSInfo("store write to sq idx %d pc 0x%x vaddr %x paddr %x data %x mmio %x roll %x exc %x\n",
122
        io.storeIn(i).bits.uop.sqIdx.value,
123 124 125 126 127 128 129 130
        io.storeIn(i).bits.uop.cf.pc,
        io.storeIn(i).bits.vaddr,
        io.storeIn(i).bits.paddr,
        io.storeIn(i).bits.data,
        io.storeIn(i).bits.mmio,
        io.storeIn(i).bits.rollback,
        io.storeIn(i).bits.uop.cf.exceptionVec.asUInt
        )
131
    }
132 133
  })

134 135 136 137 138 139 140
  def getFirstOne(mask: Vec[Bool], startMask: UInt) = {
    val length = mask.length
    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
    val highBitsUint = Cat(highBits.reverse)
    PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt))
  }

141
  def getFirstOneWithFlag(mask: Vec[Bool], startMask: UInt, startFlag: Bool) = {
142 143 144 145 146
    val length = mask.length
    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
    val highBitsUint = Cat(highBits.reverse)
    val changeDirection = !highBitsUint.orR()
    val index = PriorityEncoder(Mux(!changeDirection, highBitsUint, mask.asUInt))
147
    SqPtr(startFlag ^ changeDirection, index)
148 149
  }

150 151 152 153 154 155 156 157 158 159 160 161
  def selectFirstTwo(valid: Vec[Bool], startMask: UInt) = {
    val selVec = Wire(Vec(2, UInt(log2Up(StoreQueueSize).W)))
    val selValid = Wire(Vec(2, Bool()))
    selVec(0) := getFirstOne(valid, startMask)
    val firstSelMask = UIntToOH(selVec(0))
    val secondSelVec = VecInit((0 until valid.length).map(i => valid(i) && !firstSelMask(i)))
    selVec(1) := getFirstOne(secondSelVec, startMask)
    selValid(0) := Cat(valid).orR
    selValid(1) := Cat(secondSelVec).orR
    (selValid, selVec)
  }

W
William Wang 已提交
162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179
  def selectFirstTwoRoughly(valid: Vec[Bool]) = {
    // TODO: do not select according to seq, just select 2 valid bit randomly
    val firstSelVec = valid
    val notFirstVec = Wire(Vec(valid.length, Bool()))
    (0 until valid.length).map(i => 
      notFirstVec(i) := (if(i != 0) { valid(i) || !notFirstVec(i) } else { false.B })
    )
    val secondSelVec = VecInit((0 until valid.length).map(i => valid(i) && !notFirstVec(i)))

    val selVec = Wire(Vec(2, UInt(log2Up(valid.length).W)))
    val selValid = Wire(Vec(2, Bool()))
    selVec(0) := PriorityEncoder(firstSelVec)
    selVec(1) := PriorityEncoder(secondSelVec)
    selValid(0) := Cat(firstSelVec).orR
    selValid(1) := Cat(secondSelVec).orR
    (selValid, selVec)
  }

180 181 182 183 184 185 186 187 188 189 190 191 192 193 194
  // writeback finished mmio store
  io.mmioStout.bits.uop := uop(deqPtr)
  io.mmioStout.bits.uop.sqIdx := deqPtrExt
  io.mmioStout.bits.uop.cf.exceptionVec := dataModule.io.rdata(deqPtr).exception.asBools
  io.mmioStout.bits.data := dataModule.io.rdata(deqPtr).data
  io.mmioStout.bits.redirectValid := false.B
  io.mmioStout.bits.redirect := DontCare
  io.mmioStout.bits.brUpdate := DontCare
  io.mmioStout.bits.debug.isMMIO := true.B
  io.mmioStout.bits.fflags := DontCare
  io.mmioStout.valid := allocated(deqPtr) && datavalid(deqPtr) && !writebacked(deqPtr) // finished mmio store
  when(io.mmioStout.fire()) {
    writebacked(deqPtr) := true.B
    allocated(deqPtr) := false.B // potential opt: move deqPtr immediately
  }
195

196 197 198
  // remove retired insts from sq, add retired store to sbuffer

  // move tailPtr
199
  // TailPtr slow recovery: recycle bubbles in store queue
200 201
  // allocatedMask: dequeuePtr can go to the next 1-bit
  val allocatedMask = VecInit((0 until StoreQueueSize).map(i => allocated(i) || !enqDeqMask(i)))
202 203 204 205
  // find the first one from deqPtr (deqPtr)
  val nextTail1 = getFirstOneWithFlag(allocatedMask, tailMask, deqPtrExt.flag)
  val nextTail = Mux(Cat(allocatedMask).orR, nextTail1, enqPtrExt)
  deqPtrExt := nextTail
206

207
  // TailPtr fast recovery
208 209 210 211
  // val tailRecycle = VecInit(List(
  //   io.uncache.resp.fire() || io.sbuffer(0).fire(),
  //   io.sbuffer(1).fire()
  // ))
212

213 214
  when(io.sbuffer(0).fire()){
    deqPtrExt := deqPtrExt + Mux(io.sbuffer(1).fire(), 2.U, 1.U)
215 216
  }

217 218 219 220 221 222
  // load forward query
  // check over all lq entries and forward data from the first matched store
  (0 until LoadPipelineWidth).map(i => {
    io.forward(i).forwardMask := 0.U(8.W).asBools
    io.forward(i).forwardData := DontCare

223
    // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases:
224 225 226 227 228 229
    // (1) if they have the same flag, we need to check range(tail, sqIdx)
    // (2) if they have different flags, we need to check range(tail, LoadQueueSize) and range(0, sqIdx)
    // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, LoadQueueSize))
    // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
    // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise

230
    val differentFlag = deqPtrExt.flag =/= io.forward(i).sqIdx.flag
231
    val forwardMask = ((1.U((StoreQueueSize + 1).W)) << io.forward(i).sqIdx.value).asUInt - 1.U
W
William Wang 已提交
232 233
    val storeWritebackedVec = WireInit(VecInit(Seq.fill(StoreQueueSize)(false.B))) 
    for (j <- 0 until StoreQueueSize) {
234
      storeWritebackedVec(j) := datavalid(j) && allocated(j) // all datavalid terms need to be checked
W
William Wang 已提交
235 236 237
    }
    val needForward1 = Mux(differentFlag, ~tailMask, tailMask ^ forwardMask) & storeWritebackedVec.asUInt
    val needForward2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W)) & storeWritebackedVec.asUInt
238

239
    XSDebug("" + i + " f1 %b f2 %b sqIdx %d pa %x\n", needForward1, needForward2, io.forward(i).sqIdx.asUInt, io.forward(i).paddr)
240

W
William Wang 已提交
241 242 243 244 245 246 247
    // do real fwd query
    dataModule.io.forwardQuery(
      channel = i,
      paddr = io.forward(i).paddr, 
      needForward1 = needForward1,
      needForward2 = needForward2
    )
248

W
William Wang 已提交
249 250
    io.forward(i).forwardMask := dataModule.io.forward(i).forwardMask
    io.forward(i).forwardData := dataModule.io.forward(i).forwardData
251 252
  })

W
William Wang 已提交
253
  // When store commited, mark it as commited (will not be influenced by redirect),
254 255 256 257 258
  (0 until CommitWidth).map(i => {
    when(storeCommit(i)) {
      commited(mcommitIdx(i)) := true.B
      XSDebug("store commit %d: idx %d %x\n", i.U, mcommitIdx(i), uop(mcommitIdx(i)).cf.pc)
    }
259
  })
260

261
  (0 until 2).map(i => {
262
    val ptr = (deqPtrExt + i.U).value
W
William Wang 已提交
263
    val mmio = dataModule.io.rdata(ptr).mmio
264 265 266 267 268 269 270 271 272 273 274 275
    io.sbuffer(i).valid := allocated(ptr) && commited(ptr) && !mmio
    io.sbuffer(i).bits.cmd  := MemoryOpConstants.M_XWR
    io.sbuffer(i).bits.addr := dataModule.io.rdata(ptr).paddr
    io.sbuffer(i).bits.data := dataModule.io.rdata(ptr).data
    io.sbuffer(i).bits.mask := dataModule.io.rdata(ptr).mask
    io.sbuffer(i).bits.meta          := DontCare
    io.sbuffer(i).bits.meta.tlb_miss := false.B
    io.sbuffer(i).bits.meta.uop      := DontCare
    io.sbuffer(i).bits.meta.mmio     := mmio
    io.sbuffer(i).bits.meta.mask     := dataModule.io.rdata(ptr).mask

    when(io.sbuffer(i).fire()) {
W
William Wang 已提交
276
      allocated(ptr) := false.B
W
William Wang 已提交
277
      XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr)
W
William Wang 已提交
278
    }
279
  })
W
William Wang 已提交
280

281
  // Memory mapped IO / other uncached operations
282
  
283
  // setup misc mem access req
W
William Wang 已提交
284
  // mask / paddr / data can be get from sq.data
285
  val commitType = io.commits(0).bits.uop.ctrl.commitType 
286
  io.uncache.req.valid := pending(deqPtr) && allocated(deqPtr) &&
287
    commitType === CommitType.STORE &&
288
    io.roqDeqPtr === uop(deqPtr).roqIdx &&
289 290
    !io.commits(0).bits.isWalk

291
  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XWR
292 293 294
  io.uncache.req.bits.addr := dataModule.io.rdata(deqPtr).paddr 
  io.uncache.req.bits.data := dataModule.io.rdata(deqPtr).data
  io.uncache.req.bits.mask := dataModule.io.rdata(deqPtr).mask
295
  
296 297
  io.uncache.req.bits.meta.id       := DontCare // TODO: // FIXME
  io.uncache.req.bits.meta.vaddr    := DontCare
298 299 300
  io.uncache.req.bits.meta.paddr    := dataModule.io.rdata(deqPtr).paddr
  io.uncache.req.bits.meta.uop      := uop(deqPtr)
  io.uncache.req.bits.meta.mmio     := true.B // dataModule.io.rdata(deqPtr).mmio
301
  io.uncache.req.bits.meta.tlb_miss := false.B
302
  io.uncache.req.bits.meta.mask     := dataModule.io.rdata(deqPtr).mask
303
  io.uncache.req.bits.meta.replay   := false.B
304
  
305
  io.uncache.resp.ready := true.B
306
  
307
  when(io.uncache.req.fire()){
308
    pending(deqPtr) := false.B
309
  }
310
  
311
  when(io.uncache.resp.fire()){
312
    datavalid(deqPtr) := true.B // will be writeback to CDB in the next cycle
313 314
    // TODO: write back exception info
  }
315
  
316 317
  when(io.uncache.req.fire()){
    XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n",
318
      uop(deqPtr).cf.pc,
319 320 321 322 323 324 325 326
      io.uncache.req.bits.addr,
      io.uncache.req.bits.data,
      io.uncache.req.bits.cmd,
      io.uncache.req.bits.mask
    )
  }

  // Read vaddr for mem exception
W
William Wang 已提交
327
  io.exceptionAddr.vaddr := dataModule.io.rdata(io.exceptionAddr.lsIdx.sqIdx.value).vaddr
328 329

  // misprediction recovery / exception redirect
W
William Wang 已提交
330 331 332
  // invalidate sq term using robIdx
  val needCancel = Wire(Vec(StoreQueueSize, Bool()))
  for (i <- 0 until StoreQueueSize) {
333
    needCancel(i) := uop(i).roqIdx.needFlush(io.brqRedirect) && allocated(i) && !commited(i)
334
    when(needCancel(i)) {
Y
Yinan Xu 已提交
335 336 337 338 339
      // when(io.brqRedirect.bits.isReplay){
      //   datavalid(i) := false.B
      //   writebacked(i) := false.B
      //   pending(i) := false.B
      // }.otherwise{
340
        allocated(i) := false.B
Y
Yinan Xu 已提交
341
      // }
342 343 344
    }
  }
  when (io.brqRedirect.valid && io.brqRedirect.bits.isMisPred) {
345
    enqPtrExt := enqPtrExt - PopCount(needCancel)
346 347 348
  }

  // debug info
349
  XSDebug("head %d:%d tail %d:%d\n", enqPtrExt.flag, enqPtr, deqPtrExt.flag, deqPtr)
350 351 352 353 354 355 356 357 358

  def PrintFlag(flag: Bool, name: String): Unit = {
    when(flag) {
      XSDebug(false, true.B, name)
    }.otherwise {
      XSDebug(false, true.B, " ")
    }
  }

W
William Wang 已提交
359
  for (i <- 0 until StoreQueueSize) {
360
    if (i % 4 == 0) XSDebug("")
W
William Wang 已提交
361
    XSDebug(false, true.B, "%x [%x] ", uop(i).cf.pc, dataModule.io.rdata(i).paddr)
362
    PrintFlag(allocated(i), "a")
363
    PrintFlag(allocated(i) && datavalid(i), "v")
364 365 366 367
    PrintFlag(allocated(i) && writebacked(i), "w")
    PrintFlag(allocated(i) && commited(i), "c")
    PrintFlag(allocated(i) && pending(i), "p")
    XSDebug(false, true.B, " ")
368
    if (i % 4 == 3 || i == StoreQueueSize - 1) XSDebug(false, true.B, "\n")
369 370 371
  }

}