StoreQueue.scala 15.5 KB
Newer Older
1 2 3 4 5 6 7 8 9
package xiangshan.mem

import chisel3._
import chisel3.util._
import utils._
import xiangshan._
import xiangshan.cache._
import xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants}
import xiangshan.backend.LSUOpType
10
import xiangshan.backend.roq.RoqPtr
11

12 13 14 15 16 17 18 19 20 21 22 23

class SqPtr extends CircularQueuePtr(SqPtr.StoreQueueSize) { }

object SqPtr extends HasXSParameter {
  def apply(f: Bool, v: UInt): SqPtr = {
    val ptr = Wire(new SqPtr)
    ptr.flag := f
    ptr.value := v
    ptr
  }
}

24
// Store Queue
25
class StoreQueue extends XSModule with HasDCacheParameters with HasCircularQueuePtrHelper {
26 27
  val io = IO(new Bundle() {
    val dp1Req = Vec(RenameWidth, Flipped(DecoupledIO(new MicroOp)))
W
William Wang 已提交
28 29
    val lqReady = Input(Vec(RenameWidth, Bool()))
    val sqReady = Output(Vec(RenameWidth, Bool()))
30
    val sqIdxs = Output(Vec(RenameWidth, new SqPtr))
31 32 33 34 35 36 37
    val brqRedirect = Input(Valid(new Redirect))
    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
    val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq))
    val stout = Vec(2, DecoupledIO(new ExuOutput)) // writeback store
    val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO))
    val commits = Flipped(Vec(CommitWidth, Valid(new RoqCommit)))
    val uncache = new DCacheWordIO
38
    val roqDeqPtr = Input(new RoqPtr)
39
    // val refill = Flipped(Valid(new DCacheLineReq ))
40
    val oldestStore = Output(Valid(new RoqPtr))
41
    val exceptionAddr = new ExceptionAddrIO
42 43
  })
  
W
William Wang 已提交
44
  val uop = Reg(Vec(StoreQueueSize, new MicroOp))
W
William Wang 已提交
45
  // val data = Reg(Vec(StoreQueueSize, new LsqEntry))
W
William Wang 已提交
46 47
  val dataModule = Module(new LSQueueData(StoreQueueSize, StorePipelineWidth))
  dataModule.io := DontCare 
W
William Wang 已提交
48
  val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated
49
  val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid
W
William Wang 已提交
50
  val writebacked = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // inst has been writebacked to CDB
51
  val commited = Reg(Vec(StoreQueueSize, Bool())) // inst has been commited by roq
W
William Wang 已提交
52
  val pending = Reg(Vec(StoreQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of roq
53
  
54 55 56 57 58 59 60 61
  val enqPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
  val deqPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
  val enqPtr = enqPtrExt.value
  val deqPtr = deqPtrExt.value
  val sameFlag = enqPtrExt.flag === deqPtrExt.flag
  val isEmpty = enqPtr === deqPtr && sameFlag
  val isFull = enqPtr === deqPtr && !sameFlag
  val allowIn = !isFull
62 63
  
  val storeCommit = (0 until CommitWidth).map(i => io.commits(i).valid && !io.commits(i).bits.isWalk && io.commits(i).bits.uop.ctrl.commitType === CommitType.STORE)
64
  val mcommitIdx = (0 until CommitWidth).map(i => io.commits(i).bits.uop.sqIdx.value)
65

66 67
  val tailMask = (((1.U((StoreQueueSize + 1).W)) << deqPtr).asUInt - 1.U)(StoreQueueSize - 1, 0)
  val headMask = (((1.U((StoreQueueSize + 1).W)) << enqPtr).asUInt - 1.U)(StoreQueueSize - 1, 0)
68
  val enqDeqMask1 = tailMask ^ headMask
69
  val enqDeqMask = Mux(sameFlag, enqDeqMask1, ~enqDeqMask1)
70 71

  // Enqueue at dispatch
72
  val emptyEntries = StoreQueueSize.U - distanceBetween(enqPtrExt, deqPtrExt)
73 74
  XSDebug("(ready, valid): ")
  for (i <- 0 until RenameWidth) {
75
    val offset = if (i == 0) 0.U else PopCount((0 until i).map(io.dp1Req(_).valid))
76
    val sqIdx = enqPtrExt + offset
77
    val index = sqIdx.value
78 79 80
    when(io.dp1Req(i).fire()) {
      uop(index) := io.dp1Req(i).bits
      allocated(index) := true.B
81
      datavalid(index) := false.B
82 83 84 85
      writebacked(index) := false.B
      commited(index) := false.B
      pending(index) := false.B
    }
86
    val numTryEnqueue = offset +& io.dp1Req(i).valid
W
William Wang 已提交
87 88
    io.sqReady(i) := numTryEnqueue <= emptyEntries
    io.dp1Req(i).ready := io.lqReady(i) && io.sqReady(i)
W
William Wang 已提交
89
    io.sqIdxs(i) := sqIdx
90 91 92 93 94 95
    XSDebug(false, true.B, "(%d, %d) ", io.dp1Req(i).ready, io.dp1Req(i).valid)
  }
  XSDebug(false, true.B, "\n")

  val firedDispatch = VecInit((0 until CommitWidth).map(io.dp1Req(_).fire())).asUInt
  when(firedDispatch.orR) {
96
    enqPtrExt := enqPtrExt + PopCount(firedDispatch)
W
William Wang 已提交
97
    XSInfo("dispatched %d insts to sq\n", PopCount(firedDispatch))
98 99
  }
    
100 101
  // writeback store
  (0 until StorePipelineWidth).map(i => {
W
William Wang 已提交
102
    dataModule.io.wb(i).wen := false.B
103 104
    when(io.storeIn(i).fire()) {
      val stWbIndex = io.storeIn(i).bits.uop.sqIdx.value
105 106 107
      val hasException = io.storeIn(i).bits.uop.cf.exceptionVec.asUInt.orR
      datavalid(stWbIndex) := !io.storeIn(i).bits.mmio || hasException
      pending(stWbIndex) := io.storeIn(i).bits.mmio && !hasException
W
William Wang 已提交
108

W
William Wang 已提交
109
      val storeWbData = Wire(new LsqEntry)
W
William Wang 已提交
110 111 112 113 114 115 116 117 118 119 120
      storeWbData := DontCare
      storeWbData.paddr := io.storeIn(i).bits.paddr
      storeWbData.vaddr := io.storeIn(i).bits.vaddr
      storeWbData.mask := io.storeIn(i).bits.mask
      storeWbData.data := io.storeIn(i).bits.data
      storeWbData.mmio := io.storeIn(i).bits.mmio
      storeWbData.exception := io.storeIn(i).bits.uop.cf.exceptionVec.asUInt

      dataModule.io.wbWrite(i, stWbIndex, storeWbData)
      dataModule.io.wb(i).wen := true.B

121
      XSInfo("store write to sq idx %d pc 0x%x vaddr %x paddr %x data %x mmio %x roll %x exc %x\n",
122
        io.storeIn(i).bits.uop.sqIdx.value,
123 124 125 126 127 128 129 130
        io.storeIn(i).bits.uop.cf.pc,
        io.storeIn(i).bits.vaddr,
        io.storeIn(i).bits.paddr,
        io.storeIn(i).bits.data,
        io.storeIn(i).bits.mmio,
        io.storeIn(i).bits.rollback,
        io.storeIn(i).bits.uop.cf.exceptionVec.asUInt
        )
131
    }
132 133
  })

134 135 136 137 138 139 140
  def getFirstOne(mask: Vec[Bool], startMask: UInt) = {
    val length = mask.length
    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
    val highBitsUint = Cat(highBits.reverse)
    PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt))
  }

141
  def getFirstOneWithFlag(mask: Vec[Bool], startMask: UInt, startFlag: Bool) = {
142 143 144 145 146
    val length = mask.length
    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
    val highBitsUint = Cat(highBits.reverse)
    val changeDirection = !highBitsUint.orR()
    val index = PriorityEncoder(Mux(!changeDirection, highBitsUint, mask.asUInt))
147
    SqPtr(startFlag ^ changeDirection, index)
148 149
  }

150 151 152 153 154 155 156 157 158 159 160 161
  def selectFirstTwo(valid: Vec[Bool], startMask: UInt) = {
    val selVec = Wire(Vec(2, UInt(log2Up(StoreQueueSize).W)))
    val selValid = Wire(Vec(2, Bool()))
    selVec(0) := getFirstOne(valid, startMask)
    val firstSelMask = UIntToOH(selVec(0))
    val secondSelVec = VecInit((0 until valid.length).map(i => valid(i) && !firstSelMask(i)))
    selVec(1) := getFirstOne(secondSelVec, startMask)
    selValid(0) := Cat(valid).orR
    selValid(1) := Cat(secondSelVec).orR
    (selValid, selVec)
  }

W
William Wang 已提交
162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179
  def selectFirstTwoRoughly(valid: Vec[Bool]) = {
    // TODO: do not select according to seq, just select 2 valid bit randomly
    val firstSelVec = valid
    val notFirstVec = Wire(Vec(valid.length, Bool()))
    (0 until valid.length).map(i => 
      notFirstVec(i) := (if(i != 0) { valid(i) || !notFirstVec(i) } else { false.B })
    )
    val secondSelVec = VecInit((0 until valid.length).map(i => valid(i) && !notFirstVec(i)))

    val selVec = Wire(Vec(2, UInt(log2Up(valid.length).W)))
    val selValid = Wire(Vec(2, Bool()))
    selVec(0) := PriorityEncoder(firstSelVec)
    selVec(1) := PriorityEncoder(secondSelVec)
    selValid(0) := Cat(firstSelVec).orR
    selValid(1) := Cat(secondSelVec).orR
    (selValid, selVec)
  }

180
  // select the last writebacked instruction
181
  val validStoreVec = VecInit((0 until StoreQueueSize).map(i => !(allocated(i) && datavalid(i))))
182
  val storeNotValid = SqPtr(false.B, getFirstOne(validStoreVec, tailMask))
183
  val storeValidIndex = (storeNotValid - 1.U).value
184
  io.oldestStore.valid := allocated(deqPtrExt.value) && datavalid(deqPtrExt.value) && !commited(storeValidIndex)
185
  io.oldestStore.bits := uop(storeValidIndex).roqIdx
186 187 188

  // writeback up to 2 store insts to CDB
  // choose the first two valid store requests from deqPtr
189
  val storeWbSelVec = VecInit((0 until StoreQueueSize).map(i => allocated(i) && datavalid(i) && !writebacked(i)))
190
  val (storeWbValid, storeWbSel) = selectFirstTwo(storeWbSelVec, tailMask)
191 192 193

  (0 until StorePipelineWidth).map(i => {
    io.stout(i).bits.uop := uop(storeWbSel(i))
194
    io.stout(i).bits.uop.sqIdx := storeWbSel(i).asTypeOf(new SqPtr)
W
William Wang 已提交
195 196
    io.stout(i).bits.uop.cf.exceptionVec := dataModule.io.rdata(storeWbSel(i)).exception.asBools
    io.stout(i).bits.data := dataModule.io.rdata(storeWbSel(i)).data
197 198 199
    io.stout(i).bits.redirectValid := false.B
    io.stout(i).bits.redirect := DontCare
    io.stout(i).bits.brUpdate := DontCare
W
William Wang 已提交
200
    io.stout(i).bits.debug.isMMIO := dataModule.io.rdata(storeWbSel(i)).mmio
201 202 203
    io.stout(i).valid := storeWbSelVec(storeWbSel(i)) && storeWbValid(i)
    when(io.stout(i).fire()) {
      writebacked(storeWbSel(i)) := true.B
204 205 206
      when(dataModule.io.rdata(storeWbSel(i)).mmio) {
        allocated(storeWbSel(i)) := false.B // potential opt: move deqPtr immediately
      }
207
    }
L
LinJiawei 已提交
208
    io.stout(i).bits.fflags := DontCare
209 210
  })

211 212 213
  // remove retired insts from sq, add retired store to sbuffer

  // move tailPtr
214
  // TailPtr slow recovery: recycle bubbles in store queue
215 216
  // allocatedMask: dequeuePtr can go to the next 1-bit
  val allocatedMask = VecInit((0 until StoreQueueSize).map(i => allocated(i) || !enqDeqMask(i)))
217 218 219 220
  // find the first one from deqPtr (deqPtr)
  val nextTail1 = getFirstOneWithFlag(allocatedMask, tailMask, deqPtrExt.flag)
  val nextTail = Mux(Cat(allocatedMask).orR, nextTail1, enqPtrExt)
  deqPtrExt := nextTail
221

222
  // TailPtr fast recovery
223 224 225 226
  // val tailRecycle = VecInit(List(
  //   io.uncache.resp.fire() || io.sbuffer(0).fire(),
  //   io.sbuffer(1).fire()
  // ))
227

228 229
  when(io.sbuffer(0).fire()){
    deqPtrExt := deqPtrExt + Mux(io.sbuffer(1).fire(), 2.U, 1.U)
230 231
  }

232 233 234 235 236 237
  // load forward query
  // check over all lq entries and forward data from the first matched store
  (0 until LoadPipelineWidth).map(i => {
    io.forward(i).forwardMask := 0.U(8.W).asBools
    io.forward(i).forwardData := DontCare

238
    // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases:
239 240 241 242 243 244
    // (1) if they have the same flag, we need to check range(tail, sqIdx)
    // (2) if they have different flags, we need to check range(tail, LoadQueueSize) and range(0, sqIdx)
    // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, LoadQueueSize))
    // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
    // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise

245
    val differentFlag = deqPtrExt.flag =/= io.forward(i).sqIdx.flag
246
    val forwardMask = ((1.U((StoreQueueSize + 1).W)) << io.forward(i).sqIdx.value).asUInt - 1.U
W
William Wang 已提交
247 248
    val storeWritebackedVec = WireInit(VecInit(Seq.fill(StoreQueueSize)(false.B))) 
    for (j <- 0 until StoreQueueSize) {
249
      storeWritebackedVec(j) := datavalid(j) && allocated(j) // all datavalid terms need to be checked
W
William Wang 已提交
250 251 252
    }
    val needForward1 = Mux(differentFlag, ~tailMask, tailMask ^ forwardMask) & storeWritebackedVec.asUInt
    val needForward2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W)) & storeWritebackedVec.asUInt
253

254
    XSDebug("" + i + " f1 %b f2 %b sqIdx %d pa %x\n", needForward1, needForward2, io.forward(i).sqIdx.asUInt, io.forward(i).paddr)
255

W
William Wang 已提交
256 257 258 259 260 261 262
    // do real fwd query
    dataModule.io.forwardQuery(
      channel = i,
      paddr = io.forward(i).paddr, 
      needForward1 = needForward1,
      needForward2 = needForward2
    )
263

W
William Wang 已提交
264 265
    io.forward(i).forwardMask := dataModule.io.forward(i).forwardMask
    io.forward(i).forwardData := dataModule.io.forward(i).forwardData
266 267
  })

W
William Wang 已提交
268
  // When store commited, mark it as commited (will not be influenced by redirect),
269 270 271 272 273
  (0 until CommitWidth).map(i => {
    when(storeCommit(i)) {
      commited(mcommitIdx(i)) := true.B
      XSDebug("store commit %d: idx %d %x\n", i.U, mcommitIdx(i), uop(mcommitIdx(i)).cf.pc)
    }
274
  })
275

276
  (0 until 2).map(i => {
277
    val ptr = (deqPtrExt + i.U).value
W
William Wang 已提交
278
    val mmio = dataModule.io.rdata(ptr).mmio
279 280 281 282 283 284 285 286 287 288 289 290
    io.sbuffer(i).valid := allocated(ptr) && commited(ptr) && !mmio
    io.sbuffer(i).bits.cmd  := MemoryOpConstants.M_XWR
    io.sbuffer(i).bits.addr := dataModule.io.rdata(ptr).paddr
    io.sbuffer(i).bits.data := dataModule.io.rdata(ptr).data
    io.sbuffer(i).bits.mask := dataModule.io.rdata(ptr).mask
    io.sbuffer(i).bits.meta          := DontCare
    io.sbuffer(i).bits.meta.tlb_miss := false.B
    io.sbuffer(i).bits.meta.uop      := DontCare
    io.sbuffer(i).bits.meta.mmio     := mmio
    io.sbuffer(i).bits.meta.mask     := dataModule.io.rdata(ptr).mask

    when(io.sbuffer(i).fire()) {
W
William Wang 已提交
291
      allocated(ptr) := false.B
W
William Wang 已提交
292
      XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr)
W
William Wang 已提交
293
    }
294
  })
W
William Wang 已提交
295

296
  // Memory mapped IO / other uncached operations
297
  
298
  // setup misc mem access req
W
William Wang 已提交
299
  // mask / paddr / data can be get from sq.data
300
  val commitType = io.commits(0).bits.uop.ctrl.commitType 
301
  io.uncache.req.valid := pending(deqPtr) && allocated(deqPtr) &&
302
    commitType === CommitType.STORE &&
303
    io.roqDeqPtr === uop(deqPtr).roqIdx &&
304 305
    !io.commits(0).bits.isWalk

306
  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XWR
307 308 309
  io.uncache.req.bits.addr := dataModule.io.rdata(deqPtr).paddr 
  io.uncache.req.bits.data := dataModule.io.rdata(deqPtr).data
  io.uncache.req.bits.mask := dataModule.io.rdata(deqPtr).mask
310
  
311 312
  io.uncache.req.bits.meta.id       := DontCare // TODO: // FIXME
  io.uncache.req.bits.meta.vaddr    := DontCare
313 314 315
  io.uncache.req.bits.meta.paddr    := dataModule.io.rdata(deqPtr).paddr
  io.uncache.req.bits.meta.uop      := uop(deqPtr)
  io.uncache.req.bits.meta.mmio     := true.B // dataModule.io.rdata(deqPtr).mmio
316
  io.uncache.req.bits.meta.tlb_miss := false.B
317
  io.uncache.req.bits.meta.mask     := dataModule.io.rdata(deqPtr).mask
318
  io.uncache.req.bits.meta.replay   := false.B
319
  
320
  io.uncache.resp.ready := true.B
321
  
322
  when(io.uncache.req.fire()){
323
    pending(deqPtr) := false.B
324
  }
325
  
326
  when(io.uncache.resp.fire()){
327
    datavalid(deqPtr) := true.B // will be writeback to CDB in the next cycle
328 329
    // TODO: write back exception info
  }
330
  
331 332
  when(io.uncache.req.fire()){
    XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n",
333
      uop(deqPtr).cf.pc,
334 335 336 337 338 339 340 341
      io.uncache.req.bits.addr,
      io.uncache.req.bits.data,
      io.uncache.req.bits.cmd,
      io.uncache.req.bits.mask
    )
  }

  // Read vaddr for mem exception
W
William Wang 已提交
342
  io.exceptionAddr.vaddr := dataModule.io.rdata(io.exceptionAddr.lsIdx.sqIdx.value).vaddr
343 344

  // misprediction recovery / exception redirect
W
William Wang 已提交
345 346 347
  // invalidate sq term using robIdx
  val needCancel = Wire(Vec(StoreQueueSize, Bool()))
  for (i <- 0 until StoreQueueSize) {
348
    needCancel(i) := uop(i).roqIdx.needFlush(io.brqRedirect) && allocated(i) && !commited(i)
349 350
    when(needCancel(i)) {
      when(io.brqRedirect.bits.isReplay){
351
        datavalid(i) := false.B
352 353 354 355 356 357 358 359
        writebacked(i) := false.B
        pending(i) := false.B
      }.otherwise{
        allocated(i) := false.B
      }
    }
  }
  when (io.brqRedirect.valid && io.brqRedirect.bits.isMisPred) {
360
    enqPtrExt := enqPtrExt - PopCount(needCancel)
361 362 363
  }

  // debug info
364
  XSDebug("head %d:%d tail %d:%d\n", enqPtrExt.flag, enqPtr, deqPtrExt.flag, deqPtr)
365 366 367 368 369 370 371 372 373

  def PrintFlag(flag: Bool, name: String): Unit = {
    when(flag) {
      XSDebug(false, true.B, name)
    }.otherwise {
      XSDebug(false, true.B, " ")
    }
  }

W
William Wang 已提交
374
  for (i <- 0 until StoreQueueSize) {
375
    if (i % 4 == 0) XSDebug("")
W
William Wang 已提交
376
    XSDebug(false, true.B, "%x [%x] ", uop(i).cf.pc, dataModule.io.rdata(i).paddr)
377
    PrintFlag(allocated(i), "a")
378
    PrintFlag(allocated(i) && datavalid(i), "v")
379 380 381 382
    PrintFlag(allocated(i) && writebacked(i), "w")
    PrintFlag(allocated(i) && commited(i), "c")
    PrintFlag(allocated(i) && pending(i), "p")
    XSDebug(false, true.B, " ")
383
    if (i % 4 == 3 || i == StoreQueueSize - 1) XSDebug(false, true.B, "\n")
384 385 386
  }

}