CtrlBlock.scala 6.7 KB
Newer Older
1 2 3 4
package xiangshan.backend

import chisel3._
import chisel3.util._
Y
Yinan Xu 已提交
5
import utils._
6
import xiangshan._
7
import xiangshan.backend.decode.DecodeStage
L
LinJiawei 已提交
8 9
import xiangshan.backend.rename.{BusyTable, Rename}
import xiangshan.backend.brq.{Brq, BrqPcRead}
10 11
import xiangshan.backend.dispatch.Dispatch
import xiangshan.backend.exu._
12
import xiangshan.backend.exu.Exu.exuConfigs
13
import xiangshan.backend.regfile.RfReadPort
14
import xiangshan.backend.roq.{Roq, RoqCSRIO, RoqLsqIO, RoqPtr}
Y
Yinan Xu 已提交
15
import xiangshan.mem.LsqEnqIO
16 17 18

class CtrlToIntBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.IntExuCnt, DecoupledIO(new MicroOp))
Y
Yinan Xu 已提交
19
  val readRf = Vec(NRIntReadPorts, Output(UInt(PhyRegIdxWidth.W)))
L
LinJiawei 已提交
20
  val jumpPc = Output(UInt(VAddrBits.W))
Y
YikeZhou 已提交
21 22
  // int block only uses port 0~7
  val readPortIndex = Vec(exuParameters.IntExuCnt, Output(UInt(log2Ceil(8 / 2).W))) // TODO parameterize 8 here
Y
Yinan Xu 已提交
23
  val redirect = ValidIO(new Redirect)
24 25 26 27
}

class CtrlToFpBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.FpExuCnt, DecoupledIO(new MicroOp))
Y
Yinan Xu 已提交
28
  val readRf = Vec(NRFpReadPorts, Output(UInt(PhyRegIdxWidth.W)))
Y
YikeZhou 已提交
29 30
  // fp block uses port 0~11
  val readPortIndex = Vec(exuParameters.FpExuCnt, Output(UInt(log2Ceil((NRFpReadPorts - exuParameters.StuCnt) / 3).W)))
Y
Yinan Xu 已提交
31
  val redirect = ValidIO(new Redirect)
32 33 34 35
}

class CtrlToLsBlockIO extends XSBundle {
  val enqIqCtrl = Vec(exuParameters.LsExuCnt, DecoupledIO(new MicroOp))
Y
Yinan Xu 已提交
36
  val enqLsq = Flipped(new LsqEnqIO)
Y
Yinan Xu 已提交
37
  val redirect = ValidIO(new Redirect)
38 39
}

Y
Yinan Xu 已提交
40
class CtrlBlock extends XSModule with HasCircularQueuePtrHelper {
41 42 43 44 45 46 47 48
  val io = IO(new Bundle {
    val frontend = Flipped(new FrontendToBackendIO)
    val fromIntBlock = Flipped(new IntBlockToCtrlIO)
    val fromFpBlock = Flipped(new FpBlockToCtrlIO)
    val fromLsBlock = Flipped(new LsBlockToCtrlIO)
    val toIntBlock = new CtrlToIntBlockIO
    val toFpBlock = new CtrlToFpBlockIO
    val toLsBlock = new CtrlToLsBlockIO
Y
Yinan Xu 已提交
49 50 51 52 53 54
    val roqio = new Bundle {
      // to int block
      val toCSR = new RoqCSRIO
      val exception = ValidIO(new MicroOp)
      val isInterrupt = Output(Bool())
      // to mem block
55
      val lsq = new RoqLsqIO
Y
Yinan Xu 已提交
56
    }
57 58 59 60 61
  })

  val decode = Module(new DecodeStage)
  val brq = Module(new Brq)
  val rename = Module(new Rename)
62
  val dispatch = Module(new Dispatch)
Y
Yinan Xu 已提交
63 64
  val intBusyTable = Module(new BusyTable(NRIntReadPorts, NRIntWritePorts))
  val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts))
65

L
LinJiawei 已提交
66
  val roqWbSize = NRIntWritePorts + NRFpWritePorts + exuParameters.StuCnt + 1
67 68

  val roq = Module(new Roq(roqWbSize))
69

70 71 72
  // When replay and mis-prediction have the same roqIdx,
  // mis-prediction should have higher priority, since mis-prediction flushes the load instruction.
  // Thus, only when mis-prediction roqIdx is after replay roqIdx, replay should be valid.
Y
Yinan Xu 已提交
73 74 75 76
  val brqIsAfterLsq = isAfter(brq.io.redirectOut.bits.roqIdx, io.fromLsBlock.replay.bits.roqIdx)
  val redirectArb = Mux(io.fromLsBlock.replay.valid && (!brq.io.redirectOut.valid || brqIsAfterLsq),
    io.fromLsBlock.replay.bits, brq.io.redirectOut.bits)
  val redirectValid = roq.io.redirectOut.valid || brq.io.redirectOut.valid || io.fromLsBlock.replay.valid
77
  val redirect = Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits, redirectArb)
78

79
  io.frontend.redirect.valid := RegNext(redirectValid)
80
  io.frontend.redirect.bits := RegNext(Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits.target, redirectArb.target))
L
Lingrui98 已提交
81
  io.frontend.cfiUpdateInfo <> brq.io.cfiInfo
Y
Yinan Xu 已提交
82

83
  decode.io.in <> io.frontend.cfVec
Y
Yinan Xu 已提交
84
  decode.io.enqBrq <> brq.io.enq
85

Y
Yinan Xu 已提交
86 87
  brq.io.redirect.valid <> redirectValid
  brq.io.redirect.bits <> redirect
L
LinJiawei 已提交
88
  brq.io.bcommit <> roq.io.bcommit
Y
Yinan Xu 已提交
89
  brq.io.exuRedirectWb <> io.fromIntBlock.exuRedirect
L
LinJiawei 已提交
90 91
  brq.io.pcReadReq.brqIdx := dispatch.io.enqIQCtrl(0).bits.brTag // jump
  io.toIntBlock.jumpPc := brq.io.pcReadReq.pc
L
LinJiawei 已提交
92

93
  // pipeline between decode and dispatch
94
  val lastCycleRedirect = RegNext(redirectValid)
95
  for (i <- 0 until RenameWidth) {
96
    PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready, redirectValid || lastCycleRedirect)
97
  }
98

Y
Yinan Xu 已提交
99 100
  rename.io.redirect.valid <> redirectValid
  rename.io.redirect.bits <> redirect
101 102
  rename.io.roqCommits <> roq.io.commits
  rename.io.out <> dispatch.io.fromRename
103
  rename.io.renameBypass <> dispatch.io.renameBypass
104

Y
Yinan Xu 已提交
105 106
  dispatch.io.redirect.valid <> redirectValid
  dispatch.io.redirect.bits <> redirect
107
  dispatch.io.enqRoq <> roq.io.enq
108
  dispatch.io.enqLsq <> io.toLsBlock.enqLsq
Y
Yinan Xu 已提交
109 110
  dispatch.io.readIntRf <> io.toIntBlock.readRf
  dispatch.io.readFpRf <> io.toFpBlock.readRf
Y
Yinan Xu 已提交
111 112
  dispatch.io.allocPregs.zipWithIndex.foreach { case (preg, i) =>
    intBusyTable.io.allocPregs(i).valid := preg.isInt
113
    fpBusyTable.io.allocPregs(i).valid := preg.isFp
Y
Yinan Xu 已提交
114 115 116
    intBusyTable.io.allocPregs(i).bits := preg.preg
    fpBusyTable.io.allocPregs(i).bits := preg.preg
  }
117
  dispatch.io.numExist <> io.fromIntBlock.numExist ++ io.fromFpBlock.numExist ++ io.fromLsBlock.numExist
Y
Yinan Xu 已提交
118
  dispatch.io.enqIQCtrl <> io.toIntBlock.enqIqCtrl ++ io.toFpBlock.enqIqCtrl ++ io.toLsBlock.enqIqCtrl
119
//  dispatch.io.enqIQData <> io.toIntBlock.enqIqData ++ io.toFpBlock.enqIqData ++ io.toLsBlock.enqIqData
120

L
LinJiawei 已提交
121

122
  val flush = redirectValid && RedirectLevel.isUnconditional(redirect.level)
Y
Yinan Xu 已提交
123 124 125
  fpBusyTable.io.flush := flush
  intBusyTable.io.flush := flush
  for((wb, setPhyRegRdy) <- io.fromIntBlock.wbRegs.zip(intBusyTable.io.wbPregs)){
126
    setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.rfWen
Y
Yinan Xu 已提交
127 128 129 130 131 132
    setPhyRegRdy.bits := wb.bits.uop.pdest
  }
  for((wb, setPhyRegRdy) <- io.fromFpBlock.wbRegs.zip(fpBusyTable.io.wbPregs)){
    setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.fpWen
    setPhyRegRdy.bits := wb.bits.uop.pdest
  }
Y
Yinan Xu 已提交
133 134
  intBusyTable.io.read <> dispatch.io.readIntState
  fpBusyTable.io.read <> dispatch.io.readFpState
Y
Yinan Xu 已提交
135

Y
Yinan Xu 已提交
136
  roq.io.redirect.valid := brq.io.redirectOut.valid || io.fromLsBlock.replay.valid
137
  roq.io.redirect.bits <> redirectArb
L
LinJiawei 已提交
138 139 140 141 142 143 144 145 146
  roq.io.exeWbResults.take(roqWbSize-1).zip(
    io.fromIntBlock.wbRegs ++ io.fromFpBlock.wbRegs ++ io.fromLsBlock.stOut
  ).foreach{
    case(x, y) =>
      x.bits := y.bits
      x.valid := y.valid && !y.bits.redirectValid
  }
  roq.io.exeWbResults.last := brq.io.out

Y
Yinan Xu 已提交
147 148 149 150 151 152
  io.toIntBlock.redirect.valid := redirectValid
  io.toIntBlock.redirect.bits := redirect
  io.toFpBlock.redirect.valid := redirectValid
  io.toFpBlock.redirect.bits := redirect
  io.toLsBlock.redirect.valid := redirectValid
  io.toLsBlock.redirect.bits := redirect
153

154 155 156
  dispatch.io.readPortIndex.intIndex <> io.toIntBlock.readPortIndex
  dispatch.io.readPortIndex.fpIndex <> io.toFpBlock.readPortIndex

Y
Yinan Xu 已提交
157 158
  // roq to int block
  io.roqio.toCSR <> roq.io.csr
159
  io.roqio.exception.valid := roq.io.redirectOut.valid && roq.io.redirectOut.bits.isException()
Y
Yinan Xu 已提交
160
  io.roqio.exception.bits := roq.io.exception
161
  io.roqio.isInterrupt := roq.io.redirectOut.bits.interrupt
Y
Yinan Xu 已提交
162
  // roq to mem block
163
  io.roqio.lsq <> roq.io.lsq
164
}