cpuport.h 1.3 KB
Newer Older
1
/*
2
 * Copyright (c) 2006-2023, RT-Thread Development Team
3 4 5 6 7 8
 *
 * SPDX-License-Identifier: Apache-2.0
 *
 * Change Logs:
 * Date           Author       Notes
 * 2018-10-03     Bernard      The first version
9
 * 2020/11/20     BalanceTWK   Add FPU support
10
 * 2023/01/04     WangShun     Adapt to CH32
11 12 13 14 15 16
 */

#ifndef CPUPORT_H__
#define CPUPORT_H__

#include <rtconfig.h>
17 18 19 20 21
#if !defined(__ASSEMBLY__)
#if defined(SOC_RISCV_FAMILY_CH32)
#include "interrupt.h"
#endif
#endif
22

G
guo 已提交
23 24 25 26 27 28 29 30 31 32 33 34
#ifndef __ASSEMBLY__
#ifdef RT_USING_SMP
typedef union {
    unsigned long slock;
    struct __arch_tickets {
        unsigned short owner;
        unsigned short next;
    } tickets;
} rt_hw_spinlock_t;
#endif
#endif

35 36 37 38 39 40 41 42 43 44 45
/* bytes of register width  */
#ifdef ARCH_CPU_64BIT
#define STORE                   sd
#define LOAD                    ld
#define REGBYTES                8
#else
#define STORE                   sw
#define LOAD                    lw
#define REGBYTES                4
#endif

46 47 48 49 50
#ifdef ARCH_RISCV_FPU
#ifdef ARCH_RISCV_FPU_D
#define FSTORE                  fsd
#define FLOAD                   fld
#define FREGBYTES               8
mysterywolf's avatar
mysterywolf 已提交
51
#define rv_floatreg_t           rt_int64_t
52 53 54 55 56
#endif
#ifdef ARCH_RISCV_FPU_S
#define FSTORE                  fsw
#define FLOAD                   flw
#define FREGBYTES               4
mysterywolf's avatar
mysterywolf 已提交
57
#define rv_floatreg_t           rt_int32_t
58 59 60
#endif
#endif

61
#endif